Webinar 800x100 (1)

Virtual Prototype your SoC including Arteris FlexNoC and optimize architecture using CPAK from Carbon

Virtual Prototype your SoC including Arteris FlexNoC and optimize architecture using CPAK from Carbon
by Eric Esteve on 09-21-2012 at 7:37 am

I have talked about Virtual Prototyping a SoC including FlexNoC Network on Chip IP from Arteris by using Carbon Design Systems set of tools in a previous post. A blog, posted on Carbon’ web, is clearly explaining the process to follow to optimize a fabric (FlexNoC) successively using the different tools from Carbon. Bill Neifert,… Read More


Over-under: Apple, 52M iPhones in 4Q

Over-under: Apple, 52M iPhones in 4Q
by Don Dingee on 09-20-2012 at 8:15 pm

I’m in a Twitter conversation with some friends, with the subject: how many phones can Apple ship in the 4th quarter?

A respected analyst said 52M is “an easy mark” for Apple; others are saying 58M is the target for just the iPhone 5 in 4Q. However, the start for the iPhone 5 has been anything but easy. Oh, the orders… Read More


Damn! Cramer Figured It Out

Damn! Cramer Figured It Out
by Ed McKernan on 09-20-2012 at 8:04 pm

As an investor, one has to always be aware when Jim Cramer informs the world of the investment scenario you have been playing comes out of the shadows and sees the light of day. Soon the herd will follow which is positive, but now one has to figure how long to ride the roller coaster. In an article posted on thestreet.com entitled “TechRead More


2nd International Workshop on Resistive RAM at Stanford

2nd International Workshop on Resistive RAM at Stanford
by Ed McKernan on 09-20-2012 at 8:02 pm

A Veritable who’s who of ReRAM researchers will be present at the 2nd International Workshop on Resistive RAM at Stanford in the beginning of October. Sponsored by IMEC and Stanford’s NMRTI (Non-Volatile Technology Research Initiative), the program features two days of talks, panel sessions and no doubt lots of… Read More


Automating Complex Circuit Checking Tasks

Automating Complex Circuit Checking Tasks
by SStalnaker on 09-20-2012 at 7:24 pm

By Hend Wagieh, Mentor Graphics

At advanced IC technology nodes, circuit designers are now encountering problems such as reduced voltage supply headroom, increased wiring parasitic resistance (Rp) and capacitance (Cp), more restrictive electromigration (EM) rules, latch-up, and electrostatic discharge (ESD) damage,… Read More


Schematic Capture, Analog Fast SPICE, and Analysis Update

Schematic Capture, Analog Fast SPICE, and Analysis Update
by Daniel Payne on 09-20-2012 at 1:10 pm

At the DAC show in June I met with folks at Berkeley DA and heard about their Analog Fast SPICE simulator being used inside of the Tanner EDA tools. With the newest release from Tanner called HiPer Silicon version 15.23 you get a tight integration between:… Read More


GlobalFoundries Announces 14nm Process

GlobalFoundries Announces 14nm Process
by Paul McLellan on 09-20-2012 at 8:00 am

Today GlobalFoundries announced a 14nm process that will be available for volume production in 2014. They are explicitly trying to match Intel’s timeline for the introduction of 14nm. The process is called 14XM for eXtreme Mobility since it is especially focused on mobile. The process will be introduced just one year after… Read More


ReRAM Based Memory Buffers in SSDs

ReRAM Based Memory Buffers in SSDs
by Ed McKernan on 09-19-2012 at 11:40 am


In a paper at the VLSI meeting in Hawaii, Professor Ken Takeuchi described using an ReRAM buffer with an SSD. He points to some major performance gains that one can expect from such a configuration in terms of energy, speed and lifetime. Is this an opportunity for ReRAM that could spur development of the technology? Read more in a post… Read More


High Speed PHY Interfacing with SSIC, UFS or PCI express in Smartphone, Media tablet and Ultrabook at Lower Power

High Speed PHY Interfacing with SSIC, UFS or PCI express in Smartphone, Media tablet and Ultrabook at Lower Power
by Eric Esteve on 09-19-2012 at 10:54 am

We have recently commented the announcement from MIPI Alliance and PCI-SIG, allowing PCI Express to be used in martphone, Media tablet and Ultrabook, while keeping decent power consumption, compatible with these mobile devices. The secret sauce is in the High Speed SerDes function selected to interface with these high data Read More


Synopsys-Springsoft: Almost Done

Synopsys-Springsoft: Almost Done
by Paul McLellan on 09-19-2012 at 8:01 am

Synopsys announced today that they had completed the two main hurdles to acquiring SpringSoft. Remember, SpringSoft is actually a public Taiwanese company so has to fall in line with Taiwanese rules. The first hurdle is that they have obtained regulatory approval in Taiwan for the acquisition (roughly equivalent to FTC approval… Read More