Webinar: Secure the Right Permanent Magnet Latching System in Portable Devices

Online

Tablets, smartphones, watches, and virtual reality devices utilize permanent magnets. Accessories such as a stylus, wireless charging transmitters, and earbuds attach to them with a magnetic latching force. It is critical to understand the magnetic fields and forces from PMs of different sizes, shapes, and grades and to study the influence of ferromagnetic shunts, magnetization, …

Webinar: High-Fidelity and Numerically Robust Modeling of Wide-Bandgap Power MOSFETs with Saber

Online

The adoption of wide-bandgap power MOSFETs (SiC and GaN) is growing in power electronic applications for consumer electronics, automotive, and renewable energy. Successful implementation of simulation in system design requires high-fidelity, numerically robust, and compact power MOSFET models. The Saber circuit simulation environment offers a proven and mature solution with a dedicated tool that allows …

Synopsys & AMD Webinar – Final Frontier: The Next Generation of 3DIC Interposer/InFO Design

Online

In recent years, the semiconductor industry has experienced a breakthrough in the onset of 2.5D and 3D chiplet-based products. These products promise to extend the limits of Moore’s Law while demolishing limitations on speed and capacity for our highest tiers of compute. But for all the adulation we heap upon the 3DIC paradigm, we seemingly …

ASIP Virtual Seminar 2024

Online

ASIP Designer enables the creation of custom vector DSPs for AI Wednesday, May 22, 2024 4:00 - 6:00 pm CEST / 7:00 - 9:00 am PT Case Studies accelerating AI applications using custom RISC-V based SIMD/VLIW DSPs The revolution in AI triggers an increased awareness for application-specific instruction-set processors (ASIPs). These processors implement a specialized …

Webinar: Questa RDC Assist – Improving designer productivity and enabling faster RDC verification closure with machine learning

Online

In 2021 Siemens EDA released CDC Assist.  CDC Assist is an ML powered feature that empowers users to configure, debug, and close CDC on designs more rapidly. Following the success of CDC Assist, Siemens introduced RDC Assist in 2023. Using the same ML technology in CDC Assist, RDC Assist dramatically improves the time and effort …

Webinar: Addressing the Challenges of PCB Design for Manufacturing

Online

Manufacturing issues can be a big reason why your project timelines get derailed and even result in costly failures. By understanding common errors that occur while designing or creating your fabrication and assembly documentation, you can avoid making the same mistakes on future designs. With access to over 80 comprehensive Design for Test (DFT), Design …

Webinar: Demystifying Light: Ansys SPEOS for Optical Lightguides

This course will be held Online

Ever wondered how light travels within a device? This Rand Simulation webinar will be your guide to understanding and designing optical lightguides using Ansys SPEOS. We'll explain the fundamentals of SPEOS and explore its capabilities for simulating light behavior in lightguides. Join us on Wednesday, May 22 at 2 PM ET and discover how we …

Webinar: Reducing Semiconductor Packaging Defects with Ansys Tools

Online

Ansys Semiconductor Manufacturing Webinar Series: Part 2 of 3. Join us on Thursday, May 23rd for an in-depth discussion on reducing defects in the semiconductor packaging process. Learn more about the webinar series! TIME: THURSDAY, MAY 23, 2024 11 AM EASTERN TIME Venue: Virtual Overview Semiconductor packaging involves integrating heterogeneous chips with different functionalities into a …

Webinar: Reimagining Synopsys SLM PVT Monitoring IP for Advanced Node GAA Process

Online

Synopsys' SLM PVT Monitor (process detector, voltage monitor, temperature sensor) IP can collect voltage, temperature, and process parameters from different blocks within the IC in real time. These data can be analyzed and used to take meaningful action to optimize the performance of the chip at any stage of silicon lifecycle. This webinar focuses on …

Webinar: Shift Left in A/MS IC Design: Automation Methods for Early Layout Insights and Fast Iteration Loops

Online

Webinar Content Analog/mixed-signal IC design is a critical challenge for ASIC development with tight specifications and ambitious tapeout schedules that are not easy to meet. Many manual design steps from architecture through layout verification limit the amount of early insights and force time-consuming design iterations. In this webinar, we will give you an insight into …