Lithography For Advanced Packaging Equipment

Lithography For Advanced Packaging Equipment
by Robert Castellano on 06-24-2019 at 10:00 am

Advanced IC packaging, such as fan-out WLP (Wafer Level Packaging) and 2.5D TSV (Through Silicon Via) will drive the packaging equipment market, particularly lithography. This will help specific equipment manufacturers in 2019, since the WFE (Wafer Front End) market will drop 17%. But the Back-End lithography market, led … Read More


Semiconductor Equipment Revenues To Drop 17% In 2019 On 29% Capex Spend Cuts

Semiconductor Equipment Revenues To Drop 17% In 2019 On 29% Capex Spend Cuts
by Robert Castellano on 04-25-2019 at 7:00 am

The semiconductor equipment market grew 37.3% in 2017 on the heels of capex spend by memory companies in order to increase bit capacity and move to more sophisticated products with smaller nanometer dimensions. Unfortunately these companies overspent resulting in excessive oversupply of memory chips. As memory prices started… Read More


Semiconductor Equipment Companies Facing Significant Headwinds in 2019

Semiconductor Equipment Companies Facing Significant Headwinds in 2019
by Robert Castellano on 02-13-2019 at 12:00 pm

In January 2019, the memory market has been hit with a significant amount of negative news.

  • On Jan. 15, DRAM manufacturer Nanya Technology reported its Q4 2018 revenue was $551 million, down 30.4% QoQ.
  • On Jan. 24, 2019, SK Hynix reported Q4 2018 earnings. Revenues fell 13.7% QoQ to $8.7 billion, while operating profit amounted to
Read More

Semiconductor Metrology Inspection Outpacing Overall Equipment Market in 2018

Semiconductor Metrology Inspection Outpacing Overall Equipment Market in 2018
by Robert Castellano on 12-31-2018 at 7:00 am

As uncertainties mount about the near-term semiconductor industry from companies in Apple’s supply chain and the significant drop in memory chip prices, the semiconductor industry has consistently grown each year since the great recession of 2009. Semiconductor revenues have consistently outpaced semiconductor equipment… Read More


Changes Coming at the Top in Semiconductor Equipment Ranking

Changes Coming at the Top in Semiconductor Equipment Ranking
by Robert Castellano on 12-10-2018 at 12:00 pm

Semiconductor equipment vendor ranking, which didn’t change much between 2016 and 2017, is undergoing a makeover, as Lam Research, ASML, and Tokyo Electron (TEL) are switching places and top-ranked Applied Materials is getting closer to losing its number one ranking.

Since the 1990s, Applied Materials has been the market leader… Read More


The Disconnect Between Semiconductor and Semiconductor Equipment Revenues

The Disconnect Between Semiconductor and Semiconductor Equipment Revenues
by Robert Castellano on 11-27-2018 at 7:00 am

Historically, the semiconductor and semiconductor equipment industry were inextricably linked due to the cyclical nature of the chip industry. An increase in semiconductor revenues was followed within a short period with an increase in equipment revenues, as semiconductor companies purchased equipment to make more chips… Read More