Banner 800x100 0810

Catch Jasper at SemiIsrael Verification Day and at DVCon 2013

Catch Jasper at SemiIsrael Verification Day and at DVCon 2013
by Paul McLellan on 01-30-2013 at 4:08 pm

Jasper is presenting at both ends of the world at both ends of February.

First in Israel, it is SemiIsrael Verification Day 2013 on February 5th (next Tuesday) at Green House in Tel Aviv.

  • Zihad Hanna, VP of Research and Chief Architect and General Manager of Jasper Israel will be talking about Security Formal Verification of Hardware Design. I assume this will cover similar ground to the presentation from Haifa Verification conference that I already blogged about. That is at noon.
  • Then at 12.50pm, Mody Miller, Verification Manager at Broadcom will talk about Verifying Connectivity Across SoCs Using Jasper Formal Technology. He is in the unwelcome position of having the last presentation before lunch.

The website for the SemiIsrael Verification Day, including links to register, is here.

Then from February 25th to 28th it is DVCon in San Jose at the DoubleTree Hotel. Hotel rates for DVCon are discounted through tomorrow, Friday.

  • On Tuesday February 26th from 9-10.30am Rajeev Ranjan will present on Verification Coverage Metrics in Formal Verification and Speeding Verification Closure with UCIS Coverage Interoperability Standard. Details on the UCIS session are here. (UCIS is the Unified Coverage Interoperability Standard).
  • On Thursday afternoon of February 28th from 1.30pm until 5pm Lawrence Loh will give a tutorial on A Formal Approach to Low-power Verification. Full details, including an abstract of the tutorial, are here.

Jasper will also be exhibiting at booth 601. The exhibits will be open from 3.30pm to 6.30pm on Tuesday 26th and Wednesday 27th. Drop by to see demos of JasperGold Apps.

The DVCon website, including a links to register and for those discounted hotel rates if you are not local, is here.

Video introduction to DVCon (3 mins):


Virtuoso is 20nm-ready

Virtuoso is 20nm-ready
by Paul McLellan on 01-30-2013 at 1:47 pm

I already talked about how Cadence is splitting Virtuoso into two. Anyway, it is now officially announced. The 6.1 version will continue to be developed as a sort of Virtuoso classic for people doing designs off the bleeding edge that don’t require the new features. And a new Virtuoso 12.1 intended for people doing 20nm and below known as Virtuoso Advanced Node. I’m going to call it VAN for short, although I don’t think that is any sort of official name for it.

I sat down with Steve Lewis (it’s always odd doing press events with people that used to work for me) to get more details.

Major releases of Virtuoso (the first digit changing from 5 to 6 for example) have involved major incompatibilities in the database and SKILL libraries. This has contributed to a very slow transition in the customer base. But great care has been taken here so that 6.1 and 12.1 use the same OA database compatible and SKILL compatible. After all, if you are doing 20nm design, you haveto transition to 12.1.

Obviously one thing is that VAN does is has full support for double patterning. I’ve blogged so much about double patterning recently that I’m going to assume everyone already knows about it and about the sort of features that VAN has to have to support it properly. Instead, I’m going to look at two other big issues in 20nm and below: layout dependent effects (LDE) and local interconnect.

In 20nm, the design rules are much less pass-fail than they used to be. The most dramatic LDE is well-proximity effect. There is a minimum distance that a transistor must be from a well edge. But if the transistor is not going to be affected electrically by being near the well edge then it needs to be much further away. Or else you have to analyze the effect and make sure everything is still OK since these are not second or third order effects, they have significant impact.

One thing that VAN does is to blur the old distinction between Composer (schematic) and layout. The old model was that the circuit designer would create the schematic and then throw it over the wall (or often the ocean) to the layout designer to implement it. That doesn’t work in 20nm because there are too many LDEs. In VAN it is now possible to put some layout information into the schematic and then do a sort of hybrid analysis using layout information where it is provided and schematic data where there isn’t. They call this variability-aware-design.

In particular, the layout is analyzed including all the LDE such as well-proximity. Then when the layout designer finally creates the full design, there is lots of layout data already included at varying levels of detail. It reminds me of the same issues 10 years ago in synthesis requiring physical information and it has some of the same issues. Just as the RTL designers didn’t know much about P&R and vice-versa, the circuit designers don’t know much about layout and the layout people don’t know much about circuit design. But analog design is becoming much more like RF design, where the actual layout has always been the design and there has never been the notion that schematic and layout could be kept completely separate.


Another new thing at 20nm is local interconnect. This is an interconnect layer between the transistor level and metal1. In the fab world, the part of the process that creates the transistors is known as front end of line or FEOL (nothing to do with what EDA calls front-end design). The interconnect and via part of the process is called back end of line or BEOL. So now we have the interesting oxymoron of middle end of line or MEOL. Local interconnect has very strict design rules and, since it is contactless and connects to whatever it passes over it also has very limited use. But within standard cells and other small designs, it can make a big difference to both area and performance.


This means that at 20nm and below there are new challenges for the router to make use of local interconnect when possible.

Obviously, one other feature in VAN is support for FinFETs. This mostly affects extraction rather than requiring a sea-change in how layout is done.

There are lots of other little details, like fractured vias (created from multiple layers of local interconnect for example) and support for some of the other complicated 20nm and below design rules.

Download Cadence’s white paper on 20nm custom and analog design here.


Mentor Snags Two Awards at DesignCon

Mentor Snags Two Awards at DesignCon
by Beth Martin on 01-29-2013 at 8:44 pm

Oh, awards season! The glitz! The glamour! The most important and innovative new design products!

That last part is a key feature of the annual DesignVision awards and the Best in Test awards presented at DesignCon 2013. Mentor Graphics’ test products scored two wins: a DesignVision award for their new Tessent IJTAG product, and a Best in Test award for cell-aware (aka cell-internal) testing. While electronics industry awards may not offer the highest fashion, they do tell you what’s hot, and that’s often worth knowing.

Tessent IJTAG was recognized for enabling the new standard for the access and control of embedded IP, IEEE P1687, or IJTAG to its friends.

Some short overviews of the IJTAG standard are hereand here. Basically, IJTAG can create plug-n-play networks for IP, replacing ad-hoc and proprietary IP interfaces with a standardized interface. Mentor’s IJTAG software provides automation for the standard, so you can easily integrate any IEEE P1687-compliant IP into your design. This is a big deal, and translates into direct time and money savings from reduced test time and smaller tester memory requirements.

The Tessent IJTAG tool reads P1687 files and validates that the components are properly connected to the top-level access point. It then retargets IP-level procedural descriptions to the top-level and translates the results into Verilog test bench language and standard test vector formats like WGL, STIL or SVF. For a more detailed description of IJTAG and Mentor’s Tessent IJTAG, check out this Mentor whitepaper.

If that weren’t exciting enough, Mentor also won a Best in Test award for Tessent TestKompress with Cell-Aware ATPG. Cell-aware is a method by which the cell internals are characterized and modeled so ATPG can find defects that occur within the standard cells. Traditional fault models are abstractions of expected defect behavior and mostly target faults at the cell boundary. But with recent fabrication technologies, more than half of defects can occur within cells, which requires new cell-aware fault models that are based on analysis of the impact of defects within cell layouts.

The Mentor software automates the cell library characterization and offers a modeling syntax, UDFM (user-defined fault model). The cell internal fault models are automatically incorporated into TestKompress pattern generation using UDFM. In fact, you can use the UDFM capability to define any proprietary fault model you want, thus boosting the test quality for your specific process or application.

The cell-aware methodology Mentor devised ensures extremely high quality test patterns because it uses the physical characterization of cells to generate test pattern deterministically for potential defect locations. Mentor published some high-volume production test results with AMD proving that cell-aware testing significantly improves defect coverage and as such reduces defect rates of delivered IC significantly. You can download a paper they did with AMD at the last International Test Conference here (registration needed). The Mentor whitepaper on UDFM and the cell-aware methodology is here.


Improving Methodology the NVIDIA Way

Improving Methodology the NVIDIA Way
by Paul McLellan on 01-29-2013 at 2:57 pm

I was at DesignCon in Santa Clara today and listened to Jonah Alben of NVIDIA’s keynote on what their approach is to improving design methodology. He started by pointing out that most companies underinvest in EDA (and he includes NVIDIA in this). Partially it is complaceny: that last chip taped out so we know we can do it again. Partially it is getting used to the quirks of the methodology: we don’t want to change. And partially it is a tradeoff since people working on methodology are not working directly on the product.

His rules for methodology improvement are:

  • Promote “defend your productivity” mentality (egineers should complain more).
  • Define a long-term direction (and avoid the “this must be fixed right now” mentality).
  • Pick the most important task for near-term investment
  • Every project should do something to improve the methodology (even though in the short-term that might not help that project)
  • Explicitly allocate resources to methodology (or it won’t happen)
  • Involve the product engineers (don’t let the methodology get too remote from actual development).
  • Keep the lights on (don’t try and cut over from the existing methodology to new in one go, you need to keep the old methodology up and running too).

He then talked a bit about what NVIDIA are doing for GPU accelerated EDA, in particular for logic simulation. The problem is that in 4 years you have a design that is 4 times bigger (two nodes of Moore’s law) and 4 years improvement in CPU improvement, which leads to 3.4X longer simulations.

Working with Synopsys on VCS using an NVIDIA K10 running 2 jobs per K10. They get 5X speedup on the GPU which, with all the testbench results in an overall speedup of 2.8X. This isn’t just experimental, it is in actual use at NVIDIA.

Working with Rocketick on gate-level simulation used as part of ATPG, with 2 jobs per K10, they get 17.1X speedup. On one next generation GPU design they sped up test-generation from 20.7 days to 16 hours. Again this is being used in NVIDIA for production use.


Get the Latest Info on DFM at the SPIE Litho Conference

Get the Latest Info on DFM at the SPIE Litho Conference
by glforte on 01-29-2013 at 2:12 pm

While the SPIE Advanced Lithography conference is best known for IC manufacturing, computational lithography, mask preparation and other back-end topics, there is also a significant amount of interest in Design for Manufacturing (DFM) at the conference because some litho issues are best (or only) addressed by modifying the physical design or layout. At the upcoming SPIE Conference (Feb 24-28, San Jose Convention Center), Mentor will present three papers on DFM:

  • Pioneering an on-the-fly simulation technique for the detection of layout-dependent effects during IC design phase, Amr M. S. T. Abdelwahed, Mentor Graphics Egypt (Egypt); Rami Fathy, Mentor Graphics Corp. (Canada); Ahmed Ramadan, Mentor Graphics Egypt (Egypt), 27 February 2013 • 2:40 – 3:00 PM
  • A novel algorithm for automatic arrays detection in a layout, Marwah Shafee, Mentor Graphics Egypt (Egypt); Jea-Woo Park, Ara Aslyan, Juan Andres Torres, Mentor Graphics Corp. (United States); Kareem Madkour, Mentor Graphics Egypt (Egypt)Wael ElManhawy, Mentor Graphics Corp. (United States), 28 February 2013 • 11:50 PM – 12:10 AM
  • Model-based hints for litho-hotspot fixing beyond 20nm node, Jae-Hyun Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Sarah Mohamed, Mentor Graphics Egypt (Egypt); Wael ElManhawy, Mentor Graphics Corp. (United States); Byung-Moo Kim, Naya Ha, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Hung Bok Choi, Kee Sup Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Jean-Marie Brunet, Joe Kwan, Mentor Graphics Corp. (United States); Kareem Madkour, Mentor Graphics Egypt (Egypt); Evan Lee, Mentor Graphics Korea (Korea, Republic of), 28 February 2013 • 3:30 – 3:50 PM

You might want to catch these live and talk to the authors. Alternately, you can access them through this SPIE link http://spie.org/x14168.xml or the IEEE technical library service. For a list of all 13 Mentor papers at SPIE, click here.


Design team in China also lead Network-on-Chip adoption…

Design team in China also lead Network-on-Chip adoption…
by Eric Esteve on 01-29-2013 at 10:43 am

I have mentioned NoC adoption explosion during the last two years, illustrated by the huge growth in revenue of a company like Arteris: if we consider only revenue coming from upfront license sales (not including royalties), Arteris growth has been geometric between 2011 and 2010, passing from 18 to 39 customers, which is more than 2X. This penetration has been consolidated in 2012, as Arteris enjoys more than 50 customers. To summarize:

  • Arteris had 50 CUSTOMERS total as of 2012.
  • And well over 100 design licenses total (cumulative)

To better understand the performance, just remember that Network-on-Chip was a concept in the early 2000’s and the first commercial product was sold in 2006. This adoption rate can be compared with the emergence of Chinese chip design companies: very few were active in the early 2000’s, and then we have seen an explosion of start-up emerging in the mid-2000 and now several of this start-up has turned into well-established chip makers like NuFront, RockChip or Actions Semiconductor.


These three fabless companies have many similarities: they have launched complexes ARM based SoC in 40nm, targeting high volume market segment like Mobile (Handset or Media Tablet) or Set-Top-Box and… they have integrated a NoC from Arteris! The NoC penetration in China is a strong signal: it means that Chinese fabless are playing in the same space than the Nvidia, Qualcomm or Samsung. It also means that the Network-on-Chip, just a concept ten years ago, is penetrating every segment, every region of the world. One reason can be found in Rockchip quote from Li Shiqin: “We evaluated all the leading interconnect technologies and proved that Arteris’ NoC technology is the good choice for our multicore ARM-based SoCs,” said Li Shiqin, IC Design Manager at Rockchip. “Arteris FlexNoC is the suitable way for us to meet our design frequency, power, memory efficiency and QoS requirements.”

Last November, I had the opportunity, during ARM TechCon in Santa Clara, to discuss face to face with Kurt Shuler,

VP of Marketing at Arteris, and Kurt give me his feedback about the numerous customer visits he had in China. He has been really impressed by the energy and enthusiasm of the designers he has met there. Even if the team leader is usually older, quite often coming back home after starting his career in the US, most of the designers are young people, eager to learn new skills and practices. When Kurt describe the atmosphere during these working sessions in China, this sounds me like during the 80’s in Europe, or even more accurate, in the Silicon valley. Just use a X6 multiplication factor, taking into account the 1.343.239.923 population (estimated in 2011), and you realize how much design power these Chinese fabless companies will have soon.

I had a look at a couple of SoC from Nufront and RockChip supporting smartphone, media tablet or STB, and I have noticed that there is still room for new business for IP vendors. In fact, I did not see any MIPI IP interface being specified (and my feeling is that there is no MIPI interface supported), and if some of these SoC support several USB (up to three), none is supporting SuperSpeed USB. I can easily understand why there is no MIPI in the already released SoC: it can be seen as complex, especially MIPI interfaces requiring M-PHY, and expansive piece of IP, and the feature cannot be used as a sale argument to the end user. As well, USB 3.0 was probably not perceived as “must have” at the time these SoC have been specified (2010 or 2011), due to the lack of SuperSpeed enabled peripherals availability. But I am sure that this status will change in the near future!

To learn a lot more about NoC and Arteris products, just go here.

By Eric Esteve from IPNEST


A Brief History of Tanner EDA

A Brief History of Tanner EDA
by Daniel Nenni on 01-28-2013 at 11:00 pm

While founder John Tanner, PhD, got his initial exposure to the TTL Cookbook and CMOS Cookbook as an undergraduate, it was his experience as a Caltech graduate student that forged his early path in EDA. In 1979, while enrolled in a VLSI design course at Caltech, John and his classmates received a pre-print of Carver Mead’s seminal textbook. It was the VLSI course that opened his eyes to the broader canvas for circuit design. Later, as a graduate student in the computer science department, John completed an Introduction to CAD class where he had the task of writing software. That set the groundwork for Tanner EDA’s L-Edit product.

The impetus for Tanner Research (and later Tanner EDA – a division of the company) came about because John had started a small company with three classmates. The four had an idea for a chip and decided to program their own software for the chip design in lieu of using an expensive CAD workstation. The software tools created for that start-up became so popular that Tanner Research was formed to serve the needs of IC and (a bit later) MEMS designers — especially analog and mixed-signal (A/MS) designers — worldwide.

Over the years, Tanner’s extensive experience with ICs and other electronic components made it apparent that few robust and cohesive tool flows existed for the creation of innovative full-custom, analog, mixed-signal and MEMS chips. This gap motivated the development of front end tools (schematic capture, Spice simulation, and waveform analysis) and of physical verification (DRC & LVS).

Tanner EDA is a business unit of Tanner Research. The company was founded in 1988 as a means to develop and market EDA tools offering high productivity and compelling price-performance. Tanner EDA is now the thriving core of an established corporation that employs ~65 people and has remained privately held and debt-free since inception. It has received a number of awards, including being named to the Deloitte & Touche Fast 50 list of companies.

Tanner EDA prides itself on delivering just the right mixture of features, functionality and capability to designers of A/MS and MEMS devices. The company has shipped over 33,000 licenses of its software to more than 5,000 customers in 67 countries. Customerssuch as Phillips, Catalyst Semiconductor, Flir, Honeywell, Jet Propulsion Laboratory, NEC, Ricoh Company Ltd., Sarnoff Corporation, Xerox Corporation and others rely on these tools to help them speed from concept to silicon efficiently. Some of the products designed with Tanner EDA tools include imaging technology for the Mars Rover, components for Bluetooth peripherals and thermal management sensors for cell phones and notebook PCs.

Tanner EDA tools provide a low learning curve, high interoperability, and a powerful user interface to improve design team productivity and enable a low total cost of ownership (TCO). Capability and performance are matched by low support requirements and high support capability as well as an ecosystem of partners that bring advanced capabilities to A/MS designs.

Tanner Research’s other business unit, Tanner Laboratories, conducts advanced research and development under government contract, with an emphasis on image processing and MEMS design and fabrication. Tanner Laboratories also has fabrication facilities for MEMS and other devices. This feedback loop between MEMS designers and fabrication has resulted in more MEMS designs being created with Tanner tools than with any other EDA tools.

Tanner EDA’s fully-integratedsolutions consist of tools for full-custom analog designers, mixed-signal designers, and MEMS designers. Recent partnerships with Berkeley Design Automation (BDA), Aldec, Inc., and Incentia Design Systems have resulted in several expanded product offerings that extend and deepen the range of designs and application areas. Tanner EDA’s innovative solutions are used in a range of applications in power management, next-generation wireless, consumer electronics, displays and imaging, life sciences, automotive and RF market segments.

Tanner EDA is headquartered in Monrovia, Calif. It sells its products through distributors in Europe, China, Singapore, Malaysia, Indonesia, Hong Kong, India, and Israel and directly in North America, Japan, Taiwan, and selected markets in the rest of the world (ROW).


Time in a model: xtUML and concurrency

Time in a model: xtUML and concurrency
by Don Dingee on 01-27-2013 at 9:00 pm

Most embedded programming strategies involve decomposing the embedded application into chunks, which can then be executed as independent tasks. More advanced applications involve some type of data flow, and may attempt to execute operations in parallel where possible.

Continue reading “Time in a model: xtUML and concurrency”


Cadence, Synopsys, and Mentor on FinFETs

Cadence, Synopsys, and Mentor on FinFETs
by Daniel Nenni on 01-27-2013 at 7:00 pm

In my opinion, FinFETs will be the most significant piece of technology we, as semiconductor ecosystem people, will experience this decade. Seriously this is exciting stuff and one of the top search terms on SemiWiki for 6 months running. Here is a quick peek at what the top EDA companies will be talking about at the Common Platform Technology Forum next month and yes it is all about FinFETs:

Joseph Sawicki, Vice President and General Manager of Mentor’s Design to Silicon Division, will be co-presenting with GLOBALFOUNDRIES in a keynote on the role of EDA in advanced manufacturing. He will focus on the increasing need for EDA tools and methods that optimize physical designs in order to mitigate manufacturing risks that grow at each successive process node due to the increasing impact of variability. Joe will show some examples of how collaboration between Mentor and Common Platform foundries has solved challenges at 28 and 20nm, and will highlight some current areas of effort related to FinFET and upcoming nodes. He will also touch on new approaches to accelerate the ramp to volume yield using advanced statistical techniques applied to production test data. Joe is a great guy, very approachable, and somebody you should network with if at all possible.

In the Mentor booth they will be discussing and demonstrating the unique Calibre DRC+ and DFM Scoring solutions for GLOBALFOUNDRIES. We’ll also be showing the latest in filling technology at 28/20nm based on Calibre SmartFill, and we’ll be describing advances in IC reliability checking and the specific checks offered by the Common Platform based on Calibre PERC.

Also read: Introduction to FinFET Technology Part I

Synopsys and Common Platform are collaborating to deliver innovative solutions including industry-leading FinFET enablement, high-performance core implementation and silicon-proven IP for Common Platform processes that help enable customers to achieve their design and performance goals. At the 2013 Common Platform Technology Forum, attendees can visit Synopsys’ booth #402 to learn more about their FinFET technology collaboration with Common Platform. In the afternoon technical session, Antun Domic, senior vice president and general manager of Synopsys’ Implementation Group, is co-presenting with Samsung on the topic of “Advances in 14-nm FinFET Process and Manufacturing.”

Cadencewill present the next-generation EDA technology for 14nm and FinFETs, including topics such as double patterning, lithography, and analytical modeling for this new process technology. Cadence will also discuss the results from the IBM-ARM-Cadence Cortex-M0 and Samsung-ARM-Cadence Cortex-A7 tapeouts on 14nm/FinFET technology. The presentation will be in conjunction with IBM’s perspective on innovative next-generation device structures being researched in IBM and partner labs. Additionally Cadence will present its broad and high quality IP and Verification IP (VIP) portfolio including the high performance DDR and PCIe IP.

Common Platform Technology Forum 2013

Date:
Wednesday, February 5, 2013

Location:

Santa Clara Convention Center
5001 Great America Parkway
Santa Clara, CA 95054

General Agenda:

[TABLE] style=”width: 100%”
|-
| 8:30am – 9:00am
| style=”width: 20px” |
| style=”width: 80%” | Registration and Continental Breakfast
|-
| 9:00am – 11:30am
|
| Keynote Session
|-
| 11:30am – 1:00pm
|
| Lunch / Exhibit Area Open
|-
| valign=”top” | 1:00pm – 4:40pm
|
| Technical Session
|-
| 4:40pm – 6:00pm
|
| Reception
|-

Exhibit Hours:
11:30am – 6:00pm

Attire:

Business casual


How GLOBALFOUNDRIES is Differentiating in 2013

How GLOBALFOUNDRIES is Differentiating in 2013
by Daniel Nenni on 01-27-2013 at 7:00 pm

GLOBALFOUNDRIES changed the landscape of the foundry business in 2009 with a simple but ambitious plan to become the world’s first truly global foundry. At the Common Platform Technology Forum February 5th in the Santa Clara Convention Center GF Executive Vice President Michael Noonen will give an update on how that is going.

Mike’s theme will be “Common Technology, Uncommon Solutions.” The idea is to talk about how GLOBALFOUNDRIES is leveraging the common technology platform enabled by the partnership with IBM and Samsung to differentiate and provide innovative solutions for their customers.

He will start with a presentation of the highlights of 2012. It was an excellent year for GLOBALFOUNDRIES on a number of fronts:

  • GF overcame early challenges on 32nm and now Fab 1 in Dresden is churning out wafers with world-class yields. They have shipped more than 500,000 HKMG wafers, which is far more than any other foundry.
  • The new Fab 8 in upstate NY began running first silicon on a well established 32/28nm process technology node, and simultaneously GF has been making significant progress in technology development for the 20nm and 14nm nodes. They hired nearly 2,000 people for the Fab 8 team and have 200 additional people in other locations in New York. And of course they just announced plans to construct a new $2B Technology Development Center (TDC).
  • GF introduced the industry’s first “modular” FinFET approach with their new 14nm-XM technology. This unique technology will allow them to offer customers the performance and power advantages of FinFET transistors on an accelerated schedule with less risk. The 14nm-XM technology is optimized for the fast-growing smart mobile device market.
  • For mobile processors, GF continued deepening their partnership with ARM to optimize leading-edge process technologies for next-generation ARM IP.
  • And last but not least, GF surpassed UMC to become the #2 foundry, while continuing to drive impressive year-on-year revenue increases. In fact, research firm IC Insights recently released its projections for the top 20 leading semiconductor suppliers in 2012, and GF jumped six spots to break into the top 20 for the first time. IC Insights projected 2012 revenue to grow 31% over 2011, which would make GF the fastest growing semiconductor company in the world.

Mike will then talk about some of the “Uncommon Solutions” partners and customers are creating in partnership with GLOBALFOUNDRIES. I’m sure you’ve heard talk about the concept of “Collaborative Device Manufacturing” (CDM). GF firmly believes the foundry model has a bright future, but like all living organisms, GF must continue to evolve.

The CDM solution involves strategic collaboration that creates a ‘virtual IDM-like interface’ to chip design companies to help further close the gap between process teams at the manufacturing companies and design teams at the fabless companies. Collaboration – early, often and deep – is really the only practical approach given the cost and complexities involved. GF calls this CDM.

The GLOBALFOUNDRIES partnership with ARM is a great example of this early collaboration and co-optimization. Another good example is the GF approach to packaging innovation. While other foundries are taking full control of offerings for advanced packaging, GF has developed a collaborative approach with key assembly and test partners. This will allow GF to develop more robust solutions by tapping the expertise from different steps in the supply chain.

Mike will also highlight several new examples of innovative projects they are working on with partners and customers. Unfortunately I can’t give you a preview here because they won’t be announced until the day of the event so stay tuned!