Live from the TSMC Earnings Call!

Live from the TSMC Earnings Call!
by Daniel Nenni on 04-17-2017 at 7:00 am

Last week I was invited to attend the TSMC earnings call at the Shangri-la Hotel in Taipei which was QUITE the experience. I generally listen in on the calls and/or read the transcripts but this was the first one I attended live. I didn’t really know what to expect but I certainly did NOT expect something out of Hollywood. Seriously,… Read More


TSMC Talks About 22nm, 12nm, and 7nm EUV!

TSMC Talks About 22nm, 12nm, and 7nm EUV!
by Daniel Nenni on 03-16-2017 at 12:00 pm

The TSMC Symposium was jam-packed this year with both people and information. I had another 60 minutes of fame in the Solido booth where I signed 100 books, thank you to all who stopped by for a free book and a SemiWiki pen. SemiWiki bloggers Tom Dillinger and Tom Simon were also there so look for more TSMC Symposium blogs coming in the… Read More


Xilinx vs Altera Update 2017

Xilinx vs Altera Update 2017
by Daniel Nenni on 02-15-2017 at 7:00 am

I truly miss the Xilinx versus Altera war of words (competition at its finest) and competition is what makes the fabless semiconductor ecosystem truly great, absolutely. So with great disappointment I read the Intel Analyst Day transcript published by Bloomberg last week. It is attached at the bottom in case you are interested… Read More


Will TSMC be alone at 10nm and 7nm?!?!?

Will TSMC be alone at 10nm and 7nm?!?!?
by Daniel Nenni on 10-01-2016 at 7:00 am

Now that the dust has settled let’s talk about the recent TSMC OIP Ecosystem Forum. This was the 6[SUP]th[/SUP] annual OIP which hosts more than 1,000 attendees from TSMC’s top customers and partners. Presenting this year were TSMC VP and CTO Dr. Jack Sun, TSMC VP of R&D Dr. Cliff Hou, and ARM EVP of Incubation Businesses Dr. Dipesh… Read More


TSMC 16nm, 10nm, 7nm, and 5nm Update!

TSMC 16nm, 10nm, 7nm, and 5nm Update!
by Daniel Nenni on 09-13-2016 at 4:00 pm

Word on the street is that TSMC is on schedule with 16FFC, 10nm and 7nm, which is a very big deal for the fabless semiconductor ecosystem. As Scotten Jones has illustrated in the graphic below, for the first time in the history of the semiconductor industry a pure-play foundry (TSMC) will have the process lead over Intel. And this is… Read More


Apple will NEVER use Intel Custom Foundry!

Apple will NEVER use Intel Custom Foundry!
by Daniel Nenni on 08-27-2016 at 7:00 am

The media already has Apple and Intel in talks to make the A11 SoC in 2018 as a result of the recent Intel/ARM IP licensing deal. This is probably one of the funnier media bumbles I have read in a while so let’s talk about it in a little more detail.

“According to Nikkei Asian Review, Intel is now perfectly poised to give TSMC a good run forRead More


MediaTek is on the Move with TSMC!

MediaTek is on the Move with TSMC!
by Daniel Nenni on 08-23-2016 at 4:00 pm

MediaTek (MTK) recently made the news for announcing their first leading edge SoC (Helio X30), a 32% increase in quarter over quarter sales, and an expected 30% increase for the year. Both of which deserve a closer look as we move into the second half of 2016 which should be very strong for MTK TSMC, and the fabless semiconductor ecosystem.… Read More


Qualcomm is Back on Top of the SoC World!

Qualcomm is Back on Top of the SoC World!
by Daniel Nenni on 08-13-2016 at 7:00 am

In 2015 Qualcomm stunned the fabless semiconductor world with an unprecedented layoff. When I first heard about it the number was 5% but it kept growing and finally hit 15%. The big misstep here was, that after being the SoC leader starting in 2007 with the Snapdragon series of chips that powered the Smartphone revolution, QCOM did… Read More


TSMC 2016 Technology Symposium and Apple SoCs!

TSMC 2016 Technology Symposium and Apple SoCs!
by Daniel Nenni on 03-08-2016 at 4:00 pm

It is that time again, time for the originators of the pure-play foundry business to update their top customers and partners on the latest process technology developments and schedules. More specifically, all of the TSMC FinFET processes (16nm, 10nm, 7nm, and beyond), TSMC IP portfolio (CMOS image sensor, Embedded Flash, Power… Read More