Webinar: Addressing the Challenges of PCB Design for Manufacturing

Webinar: Addressing the Challenges of PCB Design for Manufacturing
by Admin on 05-10-2024 at 2:43 pm

Manufacturing issues can be a big reason why your project timelines get derailed and even result in costly failures. By understanding common errors that occur while designing or creating your fabrication and assembly documentation, you can avoid making the same mistakes on future designs. With access to over 80 comprehensive… Read More


Webinar: Seamless SI/PI Signoff of Allegro PCB Designs Driven by In-Design Analysis

Webinar: Seamless SI/PI Signoff of Allegro PCB Designs Driven by In-Design Analysis
by Admin on 04-15-2024 at 3:23 pm

Date: Thursday, April  25, 2024

Time: 14:00pm (Taipei Time)

Signal and power integrity (SI/PI) are top priorities for engineers designing today’s high-speed, high-density PCBs. Easy-to-use in-design analysis directly integrated into the Allegro PCB design environment can uncover SI/PI issues early in the design process,… Read More


Reducing Electronic Systems Design Complexity with AI

Reducing Electronic Systems Design Complexity with AI
by Kalar Rajendiran on 07-20-2023 at 6:00 am

Siemens Reducing Complexity with AI Whitepaper Graphics

 

In the world of electronic systems design, complexity has always been a major challenge. As technology advances and demands for more efficient and powerful electronic devices grow, engineers face increasingly intricate design requirements. These complexities often lead to longer design cycles, increased costs, … Read More


AI Assists PCB Designers

AI Assists PCB Designers
by Daniel Payne on 04-17-2023 at 6:00 am

PCB steps min

Generative AI is all the rage with systems like ChatGPT, Google Bard and DALL-E being introduced with great fanfare in the past year. The EDA industry has also been keen to adopt the trends of using AI techniques to assist IC engineers across many disciplines. Saugat Sen, Product Marketing at Cadence did a video call with me to explain… Read More


Cracking post-route Compliance Checking for High-Speed Serial Links with HyperLynx

Cracking post-route Compliance Checking for High-Speed Serial Links with HyperLynx
by Peter Bennet on 12-15-2022 at 6:00 am

hyperlynx flow

SemiWiki readers from a digital IC background might find it surprising that post-PCB route analysis for high speed serial links isn’t a routine and fully automated part of the board design process. For us, the difference between pre- and post-route verification is running a slightly more accurate extraction and adding SI modelling,… Read More


Are EDA companies failing System PCB customers?

Are EDA companies failing System PCB customers?
by Rahul Razdan on 10-31-2022 at 6:00 am

figure1 5

Electronic Design Automation (EDA) is a critical industry which enables the development of electronic systems.  Traditionally, EDA has been bifurcated into two distinctive market segments: Semiconductor and Systems (PCB).   If one were to look at the EDA industry in the early 1970’s, one would find significant capabilities… Read More


The Increasing Gap between Semiconductor Companies and their Customers

The Increasing Gap between Semiconductor Companies and their Customers
by Rahul Razdan on 10-19-2022 at 6:00 am

figure1 4

Semiconductors sit at the heart of the electronics revolution, and the scaling enabled by Moore’s law has had a transformational impact on electronics as well as society.   Traditionally, the relationship between semiconductor companies and their customers has been a function of the volume driven by the customer.  In very … Read More


A Different Perspective: Ansys’ View on the Central Issues Driving EDA Today

A Different Perspective: Ansys’ View on the Central Issues Driving EDA Today
by John Lee on 06-14-2022 at 6:00 am

RedHawk SC uses Ansys SeaScape Big Data Platform Designed for EDA Applications

For the past few decades, System-on-Chip (SoC) has been the gold standard for optimizing the performance and cost of electronic systems. Pulling together practically all of a smartphone’s digital and analog capabilities into a monolithic chip, the mobile application processor serves as a near-perfect example of an SoC. But… Read More


The Gold Standard for Electromagnetic Analysis

The Gold Standard for Electromagnetic Analysis
by Daniel Nenni on 11-04-2020 at 6:00 am

Example of a multi level board analyzed by HFSS

Ansys HFSS has been the world’s trusted gold standard for  electromagnetic analysis for many years. As chip designs get bigger and more complex many users report that they’re extremely happy with the gold standard accuracy of HFSS but wish it would run faster. Fortunately Ansys has introduced many capabilities to HFSS over the… Read More


Bridging the Gap Between Design and Analysis

Bridging the Gap Between Design and Analysis
by Mike Gianfagna on 02-20-2020 at 6:00 am

PCB design challenges

At the recent DesignCon 2020 in Santa Clara, Cadence introduced a new product, Sigrity Aurora. You won’t find a press release about this announcement. Rather, Brad Griffin, product management group director at Cadence, presented Sigrity Aurora in the theater at the Cadence booth. This one caught my eye and deserves some discussion.… Read More