Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?search/238954/&c[users]=tooLongInEDA&o=date
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Search results

  1. T

    A question regards to the semiconductor value chain

    Perhaps I'm being too simplistic (in working straight off percentages rather than actual values), but don't we need to normalise the Value Add (output) to the R&D and CapEx (inputs ) ? My point was that the Value Add/(R&D + CapEx) ratio was at first glance far less attractive for the...
  2. T

    A question regards to the semiconductor value chain

    Interesting charts. This suggests that the most profitable parts of the industry are "Design" and "Equipment and Tools". That makes some sense (US fabless companies, ASML). But it equally implies that "Front End Wafer Fab" and "Back End Assembly and Test" are absolutely the worst. Is TSMC just...
  3. T

    The state of the Foundry market before TSMC reports

    In some ways it seems surprising that TSMC inventory should be lower. Since they have the most advanced processes (with the most metal layers and lots of double patterning), wouldn't the average wafer TAT through a TSMC fab be longer and the work in progress inventory correspondingly higher ...
  4. T

    US lawmakers angry after Huawei unveils laptop with new Intel AI chip

    Part of being a shareholder is assessing and accepting the risks of the company and business in question. It is hardly news or unexpected that the US has export control regulations and that these apply to companies like Intel and are subject to change. This should be a useful lesson to any...
  5. T

    $6.6 Billion in Subsidies to TSMC Won’t Fix Deep Market Structure Issues In Semiconductor Industry

    About as much credibility as a Wall Street analyst's stock ratings during the 2000 tech bubble. File under "advertisement". Picking a paragraph at random from the report's recommendations: "Include Federal Trade Commission review and consultation in CHIPS program: Given the role of...
  6. T

    Do Intel's latest chips really beat Nvidia's?, Is Gelsinger the right leader for Intel?

    That really should be "person" Arthur - you're momentarily forgotten the Intel diversity stuff ! Two immediate thoughts: 1) Can anyone at this point ? 2) Name me someone who could do any better It may just be he has the impossible job right now. Everyone he's up against has a far more...
  7. T

    Introducing Google Axion Processors, our new Arm-based CPUs

    I think the Broadcom Tanzu reference relates to VMWare - so not hardware. More Broadcom working with Google to make sure it runs their software well I assume. Almost read Titanium as Itanium there ...
  8. T

    Intel Confirms Layoffs In Sales And Marketing Group

    A good marketing team is vital in making sure you have the correct products to sell. And products don't sell themselves. Bill Davidow (of Intel) literally wrote the book on this ("Marketing High Technology" - a fantastic read and the story of how a technically inferior x86 [not necessarily a...
  9. T

    Will Intel Foundry Break-Even Before 2028?

    Very useful chart (perhaps we could do with more of these in some of the comment threads). Back of a fag packet calculation: So Intel:TSMC is currently around 1:4. Apparently, Samsung foundry (internal + external, excluding memory) is around $20bn annually - so $5bn quarterly and 1:4 to TSMC...
  10. T

    Intel's Foundry Business discloses a $7B operating loss

    There appears to be some concensus here than N2 is denser than 18A. But is that by enough to offset the increased process complexity cost (more EUV layers) and maintain the overall cost lead ? More generally, the implication is that Intel's 18A is targetting a market segement of the foundry...
  11. T

    When Will IFS Losses Peak, Since Admittedly, The Peak is Yet to Come

    Reading the latest comments on one of the other current IFS threads, the single biggest issue seems to be that Intel's effective fab utilisation (% of possible wafer starts that result in production silicon) appears to be below 50%. If that's bottomed (Pat seems to claim it has), then it seems a...
  12. T

    Intel's Foundry Business discloses a $7B operating loss

    Interesting - this is the sort of thing you'd hope the Wall Street analysts on the call might have queried, but .... . The increased Intel depreciation change is still quite recent. isn't it ? I read somewhere it was 8 years ? Also worth noting that until it reaches breakeven, Intel has far less...
  13. T

    Intel's Foundry Business discloses a $7B operating loss

    One of the interesting points (from the long call transcript) for me was the discussion about Intel's heavy historical use of "expedites" (I take that to mean fast, very low volume lots for respins, testchips, early tapeouts, etc). They are saying this was a significant factor in their historic...
  14. T

    AMD CEO Lisa Su earned nearly double what Intel CEO Pat Gelsinger did in 2023

    I'm always sceptical about reports about what CEOs "earned". All we actually know is what they got paid. And as with all engineering, there's a significant time lag between decisions being made and effort expended and final results being measured. It's certainly far too early to judge Pat...
  15. T

    How China Could Swamp India’s Chip Ambitions

    I know. This may well not be a popular thing to say, but it's hard to think of anything India manufactures at scale today which is good enough/competitive enough to be exported globally. It seems unlikely that this is a coincidence. Some countries/cultures (Japan, South Korea, Taiwan, arguably...
  16. T

    Huawei Patent Shows 6x Multi-Patterning Surpassing EUV Resolution Without EUV

    Stealing someone's source code/drawings/IP is not, I think, sufficient these days to clone someone else's product. That fact that your have all the source code/drawings/IP whatever doesn't mean that you fully understand how to put the system together and all the bugs and limitations it has and...
  17. T

    Behind the plot to break Nvidia’s grip on AI by targeting software

    Why should they ? Creating a monopoly by fair competition isn't illegal, is it ? Don't you actually need to prove anti-competitive behaviour or establish that nVidia has actually done something wrong - other than just being very good at their job ? Should TSMC be broken up for being too...
  18. T

    Intel CEO Gelsinger proposes a fab tour for Elon Musk — could be an attempt to win orders from Tesla, other Musk companies

    All true. But the failures of the future are going to be in the electronics, systems and software, not in the mechanical parts that did used to regularly go wrong and which you could sometimes fix yourself (memories of choke usage and flooding engines, adjusting carburettor damping screws and...
  19. T

    Intel CEO Gelsinger proposes a fab tour for Elon Musk — could be an attempt to win orders from Tesla, other Musk companies

    This is really a very interesting area - and I'm not saying that the EV parts of EVs won't last longer, simply yet to be proved. On the other hand, I've read some auto industry commentators saying that the maturity of the legacy auto industry and mastery of reliability engineering for existing...
Back
Top