NanoSpice Pro X Webinar SemiWiki

Signal Integrity 101: Fundamentals for Professional Engineers

Signal Integrity 101: Fundamentals for Professional Engineers
by Daniel Nenni on 07-26-2023 at 8:00 am

Samtec Singal Integrity 101

I have been watching Youtube since it began and have been amazed by it’s evolution. Literally everything is on Youtube now from sailing to home and auto repair, sewing and embroidery (wife), semiconductors, and now there is Youtube TV.

Signal Integrity has been a hot topic on SemiWiki since Samtec arrived in 2020. SamtecRead More


Breker’s Maheen Hamid Believes Shared Vision Unifying Factor for Business Success

Breker’s Maheen Hamid Believes Shared Vision Unifying Factor for Business Success
by Bob Smith on 07-26-2023 at 6:00 am

Maheen Hamid

Maheen Hamid, a member of the ESD Alliance (a SEMI Technology Community) Governing Council and a member of SEMI’s North America Advisory Board, is an astute business executive. Together with her husband Adnan Hamid, they founded Breker Verification Systems, a company developing test synthesis solutions. She serves today … Read More


Altair’s Jim Cantele Predicts the Future of Chip Design

Altair’s Jim Cantele Predicts the Future of Chip Design
by Mike Gianfagna on 07-25-2023 at 10:00 am

Altair’s Jim Cantele Predicts the Future of Chip Design

We all know chip design is changing in substantial ways and at a fast pace. The demands being placed on semiconductor systems are growing dramatically, and the innovation being delivered to address those demands is just as dramatic. Everyone seems to have an opinion on these trends, and a set of predications to make sense out of it… Read More


Convergence Between EDA and MCAD and Industrial Software

Convergence Between EDA and MCAD and Industrial Software
by Bernard Murphy on 07-25-2023 at 6:00 am

convergence eda mcad etc min

Cadence hosted a panel at DAC on how EDA, MCAD and industrial software have come together, a topic I always find interesting. Many years ago, I worked on a NAVAIR contract bid team, an eye-opener for a young engineer who thought that innovation started and ended with electronic design. I remember CATIA (3D modeling) being a component… Read More


Keysight EDA visit at #60DAC

Keysight EDA visit at #60DAC
by Daniel Payne on 07-24-2023 at 10:00 am

Keysight EDA 60DAC min

The opening day at DAC was Monday and I had an appointment with Simon Rance (Cliosoft) and Stephen Slater, Product Manager of Keysight EDA in their suite.  Back in February Daniel Nenni wrote about Keysight EDA acquiring Cliosoft, adding design data and IP management to their software offerings. I really wanted to hear how that … Read More


NILS Enhancement with Higher Transmission Phase-Shift Masks

NILS Enhancement with Higher Transmission Phase-Shift Masks
by Fred Chen on 07-24-2023 at 8:00 am

Figure 1. NILS is improved

In the assessment of wafer lithography processes, normalized image log-slope (NILS) gives the % change in width for a given % change in dose [1,2]. A nominal NILS value of 2 indicates 10% change in linewidth for 10% change in dose; the % change in linewidth is inversely proportional to the NILS. In a previous article [2], it was shown… Read More


Intel Enables the Multi-Die Revolution with Packaging Innovation

Intel Enables the Multi-Die Revolution with Packaging Innovation
by Mike Gianfagna on 07-24-2023 at 6:00 am

Intel Enables the Multi Die Revolution with Packaging Innovation

The trend is undeniable. Highly integrated monolithic chips can no longer handle the demands of next-generation systems. The reasons for this significant shift in design are many. Much has been written on the topic; you can get a good overview of the forces at play in multi-die design here. These changes represent the next chapter… Read More


Podcast EP173: The Impact of Celestial AI’s Photonic Fabric on the Future of High-Performance Architectures

Podcast EP173: The Impact of Celestial AI’s Photonic Fabric on the Future of High-Performance Architectures
by Daniel Nenni on 07-21-2023 at 10:00 am

Dan is joined by Dave Lazovsky, CEO of Celestial AI. Dave has an in-depth knowledge of semiconductor, data/telecommunications, photonics and clean energy industries, as well as extensive international business experience. He currently has over 50 issued and 5 pending U.S. patents.

In this broad and forward-looking discussion,… Read More


ASML-Strong Results & Guide Prove China Concerns Overblown-Chips Slow to Recover

ASML-Strong Results & Guide Prove China Concerns Overblown-Chips Slow to Recover
by Robert Maire on 07-21-2023 at 8:00 am

ASML 2023 Results

-ASML reports better results & guide despite China restrictions
-Supports our view of China issues not that impactful longer term
-Industry recovery seems very far off with more delays
-ASML remains the best, most robust story in a weak industry

ASML reports nice beat despite China concerns

ASML reported revenues of Euro6.9B… Read More


Upskill Your Smart Soldiers and Conquer the Chip War in Style!

Upskill Your Smart Soldiers and Conquer the Chip War in Style!
by Sivakumar PR on 07-21-2023 at 6:00 am

Maven Silicon Article Figure 1

My recent article, ‘Chip War without Soldiers’ explained the importance of upskilling and preparing the chip design workforce in this current scenario, and it also explained how it will lead to ‘Fabs without Chips’ if we don’t prioritize it. VLSI Engineers are the pillars of the semiconductor industry, and they can only transform… Read More