Webinar: Finding Hidden Treasures to Accelerate Routing Your Layout

Webinar: Finding Hidden Treasures to Accelerate Routing Your Layout
by Admin on 02-26-2024 at 7:42 pm

Webinar Series: What’s New About Virtuoso Layout Suite

How can you get the most out of your Virtuoso layout tools? How much do you know about the new layout features in Virtuoso Studio? Join our four-part webinar series and learn how Cadence has reinvented the industry-leading Virtuoso Layout Suite, supporting heterogeneousRead More


Webinar: Maximizing the Benefits of Virtuoso Layout Suite XL

Webinar: Maximizing the Benefits of Virtuoso Layout Suite XL
by Admin on 02-26-2024 at 7:40 pm

Webinar Series: What’s New About Virtuoso Layout Suite

How can you get the most out of your Virtuoso layout tools? How much do you know about the new layout features in Virtuoso Studio? Join our four-part webinar series and learn how Cadence has reinvented the industry-leading Virtuoso Layout Suite, supporting heterogeneousRead More


Webinar: Save on Signoff Effort with In-Design DRC and Fill

Webinar: Save on Signoff Effort with In-Design DRC and Fill
by Admin on 02-26-2024 at 7:38 pm

Webinar Series: What’s New About Virtuoso Layout Suite

How can you get the most out of your Virtuoso layout tools? How much do you know about the new layout features in Virtuoso Studio? Join our four-part webinar series and learn how Cadence has reinvented the industry-leading Virtuoso Layout Suite, supporting heterogeneousRead More


Webinar: Accelerate Layout Creation with Automated Place and Route in Virtuoso Studio

Webinar: Accelerate Layout Creation with Automated Place and Route in Virtuoso Studio
by Admin on 02-26-2024 at 7:36 pm

Webinar Series: What’s New About Virtuoso Layout Suite

How can you get the most out of your Virtuoso layout tools? How much do you know about the new layout features in Virtuoso Studio? Join our four-part webinar series and learn how Cadence has reinvented the industry-leading Virtuoso Layout Suite, supporting heterogeneousRead More


Latest Virtuoso Studio & Specter Function Introduction Seminar

Latest Virtuoso Studio & Specter Function Introduction Seminar
by Admin on 08-31-2023 at 1:34 pm

As processes become finer and circuits become more complex, analog design becomes more and more difficult, requiring even greater efficiency and automation.

In this seminar, we will introduce solutions for solving design issues incorporated in the latest versions of the analog/mixed-signal IC design environment Virtuoso… Read More


US giant swoops for British chipmaker months after Chinese sale blocked on national security grounds

US giant swoops for British chipmaker months after Chinese sale blocked on national security grounds
by Daniel Nenni on 05-21-2023 at 6:00 pm

UK US CHina Semiconductor Battle

According to UK based The Telegraph Pulsic is a chip maker and Cadence is a swooping US giant.  I guess you have to stretch the truth to get those precious clicks these days. Even so this is a strategic acquisition for Cadence.

Pulsic is a 20+ year old EDA software company that offers chip planning and implementation software for custom… Read More


Experience the Future of Custom Design with Virtuoso Studio

Experience the Future of Custom Design with Virtuoso Studio
by Admin on 05-09-2023 at 1:36 pm

Event Overview

With design boundaries constantly stretched and redefined, traditional borders for “custom design” no longer hold. Creative and intelligent solutions are imperative for boosting overall design, simulation, layout, and verification productivity through ever-changing specifications.

Join us for this

Read More

CadenceCONNECT Custom IC Day: Dresden

CadenceCONNECT Custom IC Day: Dresden
by Admin on 08-29-2022 at 3:36 pm

Gear Up for Design Excellence with Cadence Virtuoso

Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design

The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling

Read More

CadenceCONNECT Custom IC Day: Milan, Edinburgh

CadenceCONNECT Custom IC Day: Milan, Edinburgh
by Admin on 08-29-2022 at 3:35 pm

Gear Up for Design Excellence with Cadence Virtuoso

Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design

The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling

Read More

CadenceCONNECT Custom IC Day: Grenoble, Graz, Bracknell

CadenceCONNECT Custom IC Day: Grenoble, Graz, Bracknell
by Admin on 08-29-2022 at 3:32 pm

Gear Up for Design Excellence with Cadence Virtuoso

Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design

The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling

Read More