High-Level Synthesis at the Edge

High-Level Synthesis at the Edge
by Bernard Murphy on 02-19-2020 at 6:00 am

AI Traditional Hardware Solutions

Custom AI acceleration continues to gather steam. In the cloud, Alibaba has launched its own custom accelerator, following Amazon and Google. Facebook is in the game too and Microsoft has a significant stake in Graphcore. Intel/Mobileye have a strong lock on edge AI in cars and wireless infrastructure builders are adding AI capabilities… Read More


DVCon Is a Must Attend Event for Design and Verification Engineers

DVCon Is a Must Attend Event for Design and Verification Engineers
by Daniel Payne on 02-03-2020 at 10:00 am

dvcon 2020

Learning is a never-ending process for design and verification engineers, so outside of reading SemiWiki you likely want to attend at least a few events per year to keep updated, learn something new, attend a workshop, or even present something that has made your IC project work much better than before. Sure, DAC is always a great… Read More


Formal and High-Level Synthesis

Formal and High-Level Synthesis
by Bernard Murphy on 01-22-2020 at 6:00 am

SLEC verification

Formal verification has made significant inroads in RTL and gate-level verification because it provides complementary strengths to conventional dynamic verification methods; using both provides higher levels of coverage and confidence in the correctness of an implementation. I haven’t heard as much about formal use in … Read More


AI Hardware Summit, Report #2: Lowering Power at the Edge with HLS

AI Hardware Summit, Report #2: Lowering Power at the Edge with HLS
by Randy Smith on 09-30-2019 at 10:00 am

I previously wrote a blog about a session from Day 1 of the AI Hardware Summit at the Computer History Museum in Mountain View, CA, held just last week. From Day 2, I want to delve into this presentation by Bryan Bowyer, Director of Engineering, Digital Design & Implementation Solutions Division at Mentor, a Siemens Business.… Read More


Webinar: From HLS Component to a Working Design

Webinar: From HLS Component to a Working Design
by Daniel Payne on 08-28-2019 at 12:27 pm

Overview

Complex algorithms do not exist in a vacuum. After HLS is used to create an RTL component, to be useful, it needs to be integrated into a larger system. This means connecting it to other components, a processor, and even software. Once integrated, the system needs to be verified. The verification of the complete environment… Read More


Webinar: Implementing Machine Learning Hardware Using High-Level Synthesis

Webinar: Implementing Machine Learning Hardware Using High-Level Synthesis
by Daniel Payne on 08-28-2019 at 12:25 pm

Overview

Neural networks are typically developed and trained in a high-performance 32-bit floating-point compute environment. But, in many cases a custom hardware solution is needed for the inference engine to meet power and real-time requirements. Each neural network and end-application may have different performance… Read More


Webinar: Using High-Level Synthesis to Accelerate Computer/Machine Vision Applications

Webinar: Using High-Level Synthesis to Accelerate Computer/Machine Vision Applications
by Daniel Payne on 08-28-2019 at 12:22 pm

Overview

HLS has been used in multiple companies, projects, and designs targeting vision processing for the past several years. HLS adoption continues to grow because it is the fastest way to turn complex algorithms into efficient hardware implementations. HLS creates a methodology that enables design teams to rapidly react… Read More


Webinar: HLS — What, How and Why Now?

Webinar: HLS — What, How and Why Now?
by Daniel Payne on 08-28-2019 at 12:02 pm

Overview

HLS has been around for years, so why are we seeing such growth now? This webinar starts with an introduction of basic HLS concepts, design methodologies, best fits for HLS with customer use cases/highlights that explain the benefits they experienced and their adoption path. It will then move to a discussion of trends … Read More


Konica Minolta Talks About High-Level Synthesis using C++

Konica Minolta Talks About High-Level Synthesis using C++
by Daniel Payne on 07-11-2019 at 8:00 am

Konica Minolta printer

In the early days of chip design circa 1970’s the engineers would write logic equations, then manually reduce that logic using Karnaugh Maps. Next, we had the first generation of logic synthesis in the early 1980’s, which read in a gate-level netlist, performed logic reduction, then output a smaller gate-level netlist.… Read More


An AI Accelerator Ecosystem For High-Level Synthesis

An AI Accelerator Ecosystem For High-Level Synthesis
by Bernard Murphy on 07-01-2019 at 10:00 am

AI accelerators as engines for object or speech recognition (among many possibilities), are becoming increasingly popular for inference in mobile and power-constrained applications. Today much of this inferencing runs largely in software on CPUs or GPUs thanks to the sheer size of the smartphone market, but that will shift… Read More