Keysight webinar 800x100

KLAC- OK Quarter & flat guide- Hopefully 2025 recovery- Big China % & Backlog

KLAC- OK Quarter & flat guide- Hopefully 2025 recovery- Big China % & Backlog
by Robert Maire on 01-30-2024 at 6:00 am

KLAC Foundry Logic

– KLAC reported an OK QTR & flat guide-waiting for 2025 recovery?
– China exposure remains both risk & savior & big in backlog
– Wafer inspect strong- Patterning on long slide- PCB biz for sale
– Some bright spots but memory still weak- Foundry/Logic OK

Bumping along the bottom of the cycle
Read More

2024 Outlook with Steve Roddy of Quadric

2024 Outlook with Steve Roddy of Quadric
by Daniel Nenni on 01-29-2024 at 10:00 am

Man on Llama

Quadric Inc. is the leading licensor of general-purpose neural processor IP (GPNPU) that runs both machine learning inference workloads and classic DSP and control algorithms.  Quadric’s unified hardware and software architecture is optimized for on-device ML inference. I have know Steve Roddy for many years, he is a high … Read More


LRCX- In line Q4 & flat guide- No recovery yet- China still 40%- Lags Litho

LRCX- In line Q4 & flat guide- No recovery yet- China still 40%- Lags Litho
by Robert Maire on 01-29-2024 at 6:00 am

Lam Research LCRX

– Lam reported as expected and guided flat- No recovery yet
– Some mix shifts but China still 40% (8X US at 5%)-NVM still low
– HBM is promising but Lam needs a broad memory recovery
– Lam has not seen order surge ASML saw- Likely lagging by 3-4 QTRs

An in line quarter and uninspiring flat guide for Q1

As compared… Read More


Podcast EP205: A Multi-Decade View of Process and Device Innovation at Intel with Paul Fischer

Podcast EP205: A Multi-Decade View of Process and Device Innovation at Intel with Paul Fischer
by Daniel Nenni on 01-26-2024 at 10:00 am

Dan is joined by Paul Fischer. Paul is the director of Chip Mesoscale Processing in Intel’s Components Research. He and his team are currently working on Gallium Nitride for energy efficient power delivery and RF communications, and technologies for heterogeneous monolithic integration.

Paul discusses the innovations… Read More


ASML – Strong order start on long road to 2025 recovery – 24 flat vs 23 – EUV shines

ASML – Strong order start on long road to 2025 recovery – 24 flat vs 23 – EUV shines
by Robert Maire on 01-26-2024 at 6:00 am

ASML Cleanroom EUV Wafer Stage Training

– ASML orders more than triple sequentially- Utilization increases
– Management remains conservative with flat revenues 2024 vs 2023
– Recovery will be slow, targeting 2025- Long & weak cyclical bottom
– Litho orders are leading indicator of future wider recovery

Strong orders pave the way for
Read More

Why Did Synopsys Really Acquire Ansys?

Why Did Synopsys Really Acquire Ansys?
by Daniel Nenni on 01-25-2024 at 10:00 am

Synopsys Ansys Logos

Mergers and acquisitions have been a big part of EDA since the beginning. We keep an EDA/IP Mergers and Acquisitions Wiki, it is 13 years old now and has more than one million views. Personally, I have been involved with dozens of acquisitions over my 40 year career, some good, some bad, all are interesting and are an important part … Read More


2023 Retrospective. Innovation in Verification

2023 Retrospective. Innovation in Verification
by Bernard Murphy on 01-25-2024 at 6:00 am

Innovation New

As usual in January we start with a look back at the papers we reviewed last year. Paul Cunningham (GM, Verification at Cadence), Raúl Camposano (Silicon Catalyst, entrepreneur, former Synopsys CTO and now Silvaco CTO) and I continue our series on research ideas. As always, feedback welcome. We’re planning on starting a live series… Read More


AI and SPICE Circuit Simulation Applications

AI and SPICE Circuit Simulation Applications
by Daniel Payne on 01-24-2024 at 10:00 am

Figure 1 min

Can you name the EDA vendor that first used AI starting 15 years ago for circuit designers using SPICE simulators? I can remember that vendor, it was Solido, now part of Siemens EDA, and I just read their 8 page paper on how they look at the various levels of AI being used in EDA to help IC designers work smarter and faster than using manual… Read More


2024 Semiconductor Cycle Outlook – The Shape of Things to Come – Where we Stand

2024 Semiconductor Cycle Outlook – The Shape of Things to Come – Where we Stand
by Robert Maire on 01-24-2024 at 6:00 am

Semiconductor Industry Outlook 2024
  • What kind of recovery do we expect, if any, after 2 down years?
  • What impact will China have on the recovery of mature market chips?
  • What will memory recovery look like? Will we return to stupid spend?
  • Stock selection ever more critical in tepid recovery
Chip stocks have rocketed but the industry itself, not so much, “Anticipation….is
Read More

2024 Outlook with John O’Donnel of yieldHUB

2024 Outlook with John O’Donnel of yieldHUB
by Daniel Nenni on 01-23-2024 at 10:00 am

yieldHUB Team

yieldHUB is a SaaS company that provides yield management and comprehensive data analysis for semiconductor (IDMs and fabless) companies around the world. SemiWiki has been working with yieldHUB for the past three years doing blogs, webinars, and podcasts with great success. John O’Donnel spent 18 years at Analog Devices… Read More