WP_Term Object
(
    [term_id] => 14
    [name] => Synopsys
    [slug] => synopsys
    [term_group] => 0
    [term_taxonomy_id] => 14
    [taxonomy] => category
    [description] => 
    [parent] => 157
    [count] => 673
    [filter] => raw
    [cat_ID] => 14
    [category_count] => 673
    [category_description] => 
    [cat_name] => Synopsys
    [category_nicename] => synopsys
    [category_parent] => 157
)
            
arc v 800x100 High Quality (1)
WP_Term Object
(
    [term_id] => 14
    [name] => Synopsys
    [slug] => synopsys
    [term_group] => 0
    [term_taxonomy_id] => 14
    [taxonomy] => category
    [description] => 
    [parent] => 157
    [count] => 673
    [filter] => raw
    [cat_ID] => 14
    [category_count] => 673
    [category_description] => 
    [cat_name] => Synopsys
    [category_nicename] => synopsys
    [category_parent] => 157
)

New ECO Product – Synopsys PrimeClosure

New ECO Product – Synopsys PrimeClosure
by Daniel Payne on 09-29-2022 at 10:00 am

New EDA product launches are always an exciting time, and I could hear the energy and optimism from the voice of Manoj Chacko at Synopsys in our Zoom call about Synopsys PrimeClosure. During the physical implementation phase for IC designs there’s a big challenge to reach timing closure, and with advanced nodes the number of ECO iterations have become expensive as there are more physical effects to take into account: Timing, leakage power, dynamic power, area, metal, static IR drop, dynamic IR drop, robustness, aging, process variation, 3DIC.

ECO Types - PrimeClosure
ECO Types

The iteration loop between P&R, STA and ECO tools has become too tedious in the quest to reduce the number of violations, so a new ECO tool must be both fast and accurate.

Violation Count, PrimeClosure
Violation Count

In June 2020 Synopsys acquired Dorado, because the ECO Tweaker tool was so well established, being used within TSMC since 2011.

Synopsys PrimeClosure

The new tool, Synopsys PrimeClosure, built on the Synopsys PrimeTime backbone integrates the established ECO technologies from  Synopsys PrimeTime® ECO, Synopsys PrimeECO, Synopsys Tweaker ECO, with many more new transformations to optimize for PPA.

Synosys PrimeClosure min
Synopsys PrimeClosure

Inside of Synopsys PrimeClosure you’ll find plenty of features aimed at optimizing PPA (Power, Performance, Area), shortening run times, lowering the cost of ownership, providing a convergent full-flow, and easy to transition from existing Synopsys tools.

PrimeClosure Features min 1
Synopsys PrimeClosure Features

Previous tool flows could take 7-8 weeks to manually converge when driven by expert users, however using an AI-driven methodology with new physical cop-optimizations you can now expect overnight results.

The integrated cockpit means that an engineer can run all of the tools from this one UI:  Synopsys IC Compiler™ II, Synopsys Fusion Compiler™, Synopsys ICV metal fill, Synopsys StarRC extraction, Synopsys PrimeTime static timing analysis, Synopsys PrimePower, Synopsys PrimeShield Robustness, Ansys RedHawk SC. You can even use other industry standard P&R tools, like from Cadence and Siemens  with Synopsys PrimeClosure. The output from Synopsys PrimeClosure is a standard Tcl file.

When you’re running a P&R tool, yes, there are timing engines built-in, but they typically require 8-12 cores to run. So, for running signoff timing/ECO with 10 corners on a 5nm node, with four cores per corner will take 40 cores, and then a master machine with 10 cores, so about 50 cores total. Now take ten designers running 50 blocks at the same time, and you simply use up too many licenses to be cost effective.

Synopsys PrimeClosure even runs on a single box, and one design with billions of instances completed using only 28 cores. If you wanted to run 30 experiments, then you could use 30 machines each with dozens of cores, and get results the next day. You will have few iterations with the PrimeClosure tool flow, saving valuable time.

The new technologies in PrimeClosure include the following:

  • Laser PPA
    • Signoff accurate QoR with LIVE Synopsys PrimeTime optimization
    • Clock ECO for block and interface paths
    • Advanced power optimization
    • AI-driven last-mile closure
    • Aging, robustness, dynamic IR drop, area and post-mask
  • P&R Convergence
    • Advanced modeling
    • Wire co-optimizations
    • Placement co-optimization
  • Peformance and TAT, for large designs
    • Seamless dataflow, Gigachip hierarchical single-box TAT
    • Unified cockpit, GUI, end-to-end flow
    • High performance and capacity options
    • Smart Pruner, Adaptive Learning3DIC logical and physical

In the hierarchical ECO flow the design is automatically partitioned into smaller blocks, then the blocks can be separately processed.  The Time to Results (TTR) have been reduced up to 10X, disk memory reduced by 3X, and the hardware resources at 10X less. If your design has 1,000s of scenarios and hundreds of hierarchical blocks, then the smart Pruner technology have TAT improvements of 40%, while reducing memory by 60%.

Synopsys has partnered with Ansys by delivering a tight integration with RedHawk-SC, creating timing-aware IR-ECO. The benefit is that this flow can fix up to half of your late-stage dynamic voltage drop violations, all while not changing the chip timing.

RedHawk SC min
Synopsys PrimeClosure and RedHawk-SC

Reliability analysis from Synopsys PrimeShield using HSPICE is also integrated with Synopsys PrimeClosure for: robustness, voltage, parasitic variation, aging analysis.

PrimeShield min
Synopsys PrimeShield and Synopsys PrimeClosure

The GUI from Synopsys Tweaker ECO has been extended in Synopsys PrimeShield to visualize many views and maps, helping engineers fix violations quicker and speeding the ECO process.

Unified GUI Cockpit min
Unified GUI Cockpit

Summary

The new EDA tool PrimeClosure from Synopsys is now offering Synopsys PrimeTime golden signoff correlation, kind of creating a golden signoff ECO tool. Expect up to 45% better timing, 10% power improvement, iteration reductions up to 50%, all making you up to 10X more productive. AI has been applied to this flow, helping the last-mile design closure step.

Related Blogs

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.