Dinesh Bettadapur serves as the Chief Executive Officer of Irresistible Materials Ltd. Dinesh has over 20 years of executive management experience in the semiconductor industries and has held significant leadership roles encompassing general management, P&L management, sales, business development, strategic alliances, and operations. He has worked at industry leaders such as ASML, Intel, and Lam Research as well as multiple Silicon Valley startups and led them toward a significant degree of business growth including 3 successful exits.
Tell us about your company?
Irresistible Materials is an innovative electronic materials company that has developed a novel EUV resist material to help meet the unique and significant challenges of EUV lithography. It was founded in 2010 as a spinoff from the University of Birmingham and has developed other production worthy materials such as spin-on carbon for hardmasks and e-beam resists. But we are now purely focused on the development and commercialization of our high-performance EUV resist, which is called Multi-Trigger Resist (MTR™) and represents a new class of resist material.
Our MTR platform has been designed from the ground up specifically for EUV lithography, and addresses the limitations of legacy resist materials. It is up to two times faster than competing resists, which has the potential to result in annual cost-of-ownership (CoO) savings of approximately US$10-15 million per EUV scanner operating in a production fab.
Our team is a multi-disciplinary team comprised of world-class technologists with significant industry experience and strong academic background in multiple disciplines, including chemical engineering, lithography, material science, and synthetic chemistry.
What is the vision and strategy for the company?
Our vision is to be the pre-eminent supplier of EUV resist materials to the semiconductor industry through the market adoption of our innovative MTR photoresist platform. Our strategy is to collaborate closely with our customers across the industry’s leading integrated device manufacturers (IDMs) and foundries and offer customized resist materials to address their unique needs. In addition, we intend to strengthen our existing partnerships with key players in the ecosystem while also establishing a set of new partnerships. We are also taking a solution-oriented approach to ensure that our resist material becomes a plug-play material within the overall EUV lithography process.
What problems are you solving?
We are addressing the unique challenges and requirements of EUV lithography (both low NA and High NA). Traditional photoresists like chemically amplified resists (CAR) and metal oxide resists (MOR) cannot fully meet the requirements for higher resolution, low defectivity, and improved throughput. The need for specialized EUV photoresists will only become greater as chip manufacturers push the limits of EUV lithography to further reduce the size of chip feature sizes. Specifically, we are developing novel formulations of our resist material that can meet the key requirements of absorbance, defectivity, etch resistance, line width roughness (LWR), resolution, and sensitivity. There are multiple tradeoffs that have to be made in order to balance all of these requirements in order to generate optimum resist formulation.
What application areas are your strongest?
Our EUV resist material is highly applicable across both logic and memory devices as well as patterned layers corresponding to FEOL and BEOL processes (lines & spaces, contact holes, pillars, etc.).
What does the competitive landscape look like and how do you differentiate?
Our main competitors are those offering CAR and MOR resists. Our MTR technology is a new approach that combines the best of both worlds with additional unique features, which has the potential to offer the highest levels of performance. It uses a catalytic mechanism based on a photoacid generator similar to a CAR and is an organic compound, which makes it compatible with existing track solutions. But unlike a CAR, it is a controlled catalytic reaction based on unique proprietary molecules, which significantly limits or eliminates the acid diffusion resulting in high sensitivity and low LWR. Similar to MOR, it is a small molecule with high opacity, which delivers high resolution. But unlike a MOR, it is non-metallic and avoids metal contamination issues in the fab. Above and beyond all of this, it is a faster resist compared to both CAR and MOR and therefore offers the potential for significant CoO savings in a production fab. Finally, it is PFAS/PFOS-free, which makes it very environmentally friendly.
What new features/technology are you working on?
Broadly speaking, there are two categories of features and capabilities we are working on. The first category is related to improved formulations that can meet the specific short-term needs of customers. Examples of these are higher resolution (tighter pitches), lower linewidth roughness (LWR), and minimizing defectivity.
The other category is related to developing brand new formulations that can address medium-term and long-term industry needs. Examples of these are better delay tolerance, improved process compatibility, and higher absorption/depth of focus (particularly for high-NA EUV).
How do customers normally engage with your company?
Customers will typically ask us to provide a resist sample for testing based on a set of target performance requirements (e.g., resolution, LWR, sensitivity), operating conditions (e.g., bake temperature, post exposure delay) and the target pattern (e.g., lines and spaces, contact holes, pillars). We will then come up with an appropriate custom formulation, which is aimed at meeting their target requirements and perform internal testing before delivering it to the customer. Based on their testing, the customer may ask us to tweak the formulation for further optimization of one or more parameters. Once the customer is satisfied that our formulation meets their key requirements, they will move on to the next phase of material qualification which can eventually lead to a specific material becoming selected as a process of record (POR) material in preparation for high volume manufacturing (HVM).
Contact Irresistible Materials
Also Read:
CEO Interview with Pierre-Yves Lesaicherre of Finwave CEO
CEO Interview with Matt Desch of Iridium
CEO Interview with Mike Noonen of Swave Photonics
Share this post via:
Unlocking the cloud: A new era for post-tapeout flow for semiconductor manufacturing