Banner 800x100 0810

2013 semcionductor market forecast lowered to 6% from 7.5%

2013 semcionductor market forecast lowered to 6% from 7.5%
by Bill Jewell on 05-30-2013 at 9:00 am

The global semiconductor market was weaker than expected in 1Q 2013, down 4.5% from 4Q 2012 according to WSTS. Much of the softnes was attributable to a major falloff in the PC market. According to International Data Corporation (IDC), 1Q 2013 PC shipments were down 15% from 4Q 2012 and down 14% from 1Q 2012. Other key end markets remained strong. IDC estimates 1Q 2013 media tablet shipments were up 142% from a year ago. The combination of PC units and media tablet units showed 15% year-to-year growth in 1Q 2013. Mobile phone shipments in 1Q 2013 increased only 4% from a year ago. However smart phones – with high semiconductor content – were up 42% versus a year ago, continuing the 43% growth trend for the year 2012.

The strong growth of media tablets and smart phones will continue in 2013. IDC forecast 59% growth for media tablets in 2013, with tablets surpassing PC units by 2015. Smart phones are expected to grow 30% in 2013, exceeding 50% of total mobile phone units. Overall economic growth should pick up slightly in 2013 over 2012. The International Monetary Fund (IMF) April forecast called for global GDP growth of 3.3% in 2013 compared to 3.2% in 2012.

The second quarter of 2013 shows promise for healthy growth over 1Q 2013. Below is the available revenue guidance for major semiconductor companies. Micron did not provide specific guidance, but we at Semiconductor Intelligence estimated revenue growth based on Micron’s expectations of bit growth and price changes for DRAM and flash. The low end of guidance is pessimistic, with 5 of the 6 companies forecasting a decline. The midpoint guidance seems more realistic, with all but Qualcomm showing increases. Qualcomm cited seasonal trends in its business for the weak guidance. High end guidance averages 6% for the 6 companies providing numbers.

In February, we at Semiconductor Intelligence forecast 7.5% growth in the semiconductor market in 2013 and 12% growth in 2014. Although 1Q 2013 was weaker than expected, the general trends driving moderate growth are still in place. We have lowered our forecast for 2013 to 6%. We are holding the 2014 forecast at 12% based on continued improvement in the global economy. The chart below compares recent forecasts for 2013 and 2014.

lang: en_US


Atrenta: Mentor/Spyglass Power Signoff…and a Book

Atrenta: Mentor/Spyglass Power Signoff…and a Book
by Paul McLellan on 05-30-2013 at 7:00 am

Today Atrenta and Mentor announced that they were collaborating to enable accurate, signoff quality power estimation at the RTL for entire SoCs. The idea is to facilitate RTL power estimation for designs of over 50M gates running actual software loads over hundreds of millions of cycles, resulting in simulation datasets in the 10s of gigabytes.

Under the hood, the implementation is an interface between Mentor’s Veloce2 emulator and SpyGlass Power RTL power estimation tool. This enables estimation of SoC power and validation of power budgets at the full-chip level. This is important since power is actually a chip-level problem (although there are local power issues concerned with thermal and power supplies). The interface files from the emulator differ from files generated by standard RTL simulation tools since they are optimized for large data sets over millions of cycles. SpyGlass power can consume the switching activity interface format (SAIF) generated by Veloce2, as well as files in the industry-standard FSDB format.

The results of this collaboration will be shown in both the Atrenta booth and the Mentor booth during DAC. Atrenta is in booth 1847. Mentor is in booth 2046.

Also, this week, Atrenta announced the publication of a new book on timing constraints. The book Constraining Designs for Synthesis and Timing Analysis: A Practical Guide to Synopsys Design Constraints (SDC) is authored by Sridhar Gangadharan, senior product director at Atrenta and Sanjay Churiwala, director at Xilinx. The book, which features a foreword by Dr. Ajoy Bose, chairman, president and CEO of Atrenta, is being published by Springer Science+Business Media.

The book targets system on chip designers and provides a complete overview of how to create effective timing constraints using SDC, including detailed syntax and semantics, its impact on timing analysis and synthesis and the interaction of timing constraints with the rest of the design flow.

I will review the book on Semiwiki…but after DAC, this week is already too insane.

Springer have a booth at DAC so I’m sure it will be available there (and probably discounted during the show but I’m just guessing). The book is available on Amazon here. Springer is at booth 1243.

Full details of Atrenta activities at DAC, including links for registration, are here. Atrenta is at booth 1847. Atrenta is also the sponsor of the “hot zone” at the DAC party on Monday night.


Efficient Handling of Timing ECOs

Efficient Handling of Timing ECOs
by Daniel Nenni on 05-29-2013 at 8:00 pm

Today, in the design of any type of system on chip (SoC), timing closure is a major problem and it only gets worse with each new, and more advanced process technology. Timing closure is closely inter-leaved with power and clock design. The complexity of achieving closure rises sharply with increasing design density and advancing process technology. Since ECO handling is mostly a manual process. Hence it is time consuming and error-prone. When most chip design cycles are a year or less, if timing closure takes up to two months, it becomes an expensive process. The trend is that ECO handling is expected to grow more complex with each new process node, and hence become more expensive.

Designer’s Challenges
Achieving design closure in the world of SoC design is becoming more and more difficult with each new process node. With increasing design densities/complexities, the interaction of process parameters on design and the inability of design tools to efficiently handle a large number of multi-mode, multi-corner timing scenarios exacerbate the issue.

While it is natural to expect ECO scripts generated using a sign-off STA to be accurate, it is not true for most SoC designs today. Why? Delays are layout dependent and STA tools are not physically-aware. First and foremost, the ECO scripts generated by such non-physically aware tools are not accurate. Second, the scripts are actually implemented using a P&R tool. The inherent lack of correlation between the timing engines within the STA and P&R tools lead to inaccurate estimations of the size and locations for buffers added to the design. In addition, the common challenges faced by designers are:

[LIST=1]

  • Handling multi-mode, multi-corner (MCMM) is practically impossible when manually generating ECOs. Without MCMM, non-linear process variation effects lead to several thousand hold violations.
  • STA being not physically-aware, leads to inaccurate ECO fixes and often over use of buffers, thereby increasing chip power.
  • Designers are forced to run several long and time-consuming iterations through P&R tools since, a) P&R tools can handle few scenarios only at a time, and b) STA-generated ECO scripts are poor predictors of timing convergence.
  • Sometimes, driven by time to market pressures, it is not uncommon to tape-out a chip for a lower than intended performance target.Current ECO Methodologies
    In the traditional timing ECO methodology (Figure1), designers start the ECO process after completing routing. Three common approaches currently used to address timing ECOs and the challenges they present are:

    Script-based ECO handling is a common approach. Based on violation report or partial STA graph, and using easy ways to fix those violations, designers develop and apply an ECO script. Being mostly manual in nature, it is practically impossible to handle multi-mode, multi-corner (MCMM) issues in one shot. In addition, neither the STA tool nor the designers are layout-aware, leading to both timing and layout correlation issues, and hence poor results.

    Another method is to use an optimizer on top of the STA tool. Since the STA tool is not physically-aware, layout correlation issues lead to poor results.

    The third approach is to build an optimizer on top of place and route software. The difference between the built-in timing engine and the sign-off STA engine creates timing correlation issues, leading to over compensation and too many buffers, thereby increasing routing congestion and power. In addition, P&R tools are inherently limited to handling only a few scenarios at a time. This leads to extra iterations and longer time to closure.

    During the post-route optimization phase, designers typically try to reduce the number of violations to within a few hundred such that they can be addressed manually. Since P&R tools can handle only few scenarios at a time, the number and duration per iteration typically increases, resulting in longer time to a) reduce violation count and b) time to closure.

    Required Solution

    From the above discussion it is clear that in order to effectively address timing ECOs and closure, the required solution must combine the capabilities of static timing analysis and physical design to effectively and efficiently handle ECO optimization. In essence, such a solution must be:

    [LIST=1]

  • Placement and most importantly routing-aware
  • Highly correlated with respect to layout and timing
  • Able to handle a large number of MCMM scenariosCorrelation and routing awareness would ensure a) accurate buffer estimation, b) their legalized placement and c) most efficient handling of transition timing violations. The ability to handle large number of MCMM scenarios would transform into greater accuracy, and significant reduction in the number of ECO iterations.

    TimingExplorer™, a unique, placement and routing-aware timing closure solution for all MCMM timing scenarios provided the capabilities we were looking for.

    TimingExplorer provided us the following benefits:

    [LIST=1]

  • Saved 2-4 iterations and 1-2 weeks at the post-route optimization stage. This equated to 50% savings in the post-route optimization phase.
  • Saved 2-3 iterations and 0.5-1 day per iteration (total 1-2 weeks) in ECO phase. This was a 60% to 70% reduction in the duration of timing ECO phase.
  • Most effective and highest efficiency in fixing violations
  • Saved area by using 25-30% fewer buffers than a P&R tool based methodology After successfully taping-out dozens of designs, this tool is now part of our standard design closure flow.

    Summary
    ECOs are the biggest reason why design closure is increasingly complex and time consuming. Effectively and efficiently addressing ECOs call for a product that is architected to be placement and routing-aware, and is capable of handling any number of MCMM sign-off scenarios.

    About the Author
    Timothy Yinghas been working as an ASIC design engineer for 12 years. In his current position as Staff Design Engineer at Marvell’s Storage Group, he is focused on timing ECO closure using Static Timing Analysis. He primarily works on 28nm designs with complex clock structures, multi-voltage domains and hierarchy. Timothy holds a bachelor’s degree in Computer Science from Fudan University in Shanghai, China, and a master’s degree in Electrical Engineering from San Jose State University in California.

    lang: en_US


Advanced Verification – HW/SW Emulation – SoC/ASIC Prototyping

Advanced Verification – HW/SW Emulation – SoC/ASIC Prototyping
by Daniel Nenni on 05-29-2013 at 8:00 pm

market

Aldec, Inc. is an industry-leading Electronic Design Automation (EDA) company delivering innovative design creation, simulation and verification solutions to assist in the development of complex FPGA, ASIC, SoC and embedded system designs. With an active user community of over 35,000, 50+ global partners, offices worldwide and a global sales distribution network in over 43 countries, the company has established itself as a proven leader within the verification design community. Make sure and visit Aldec at #50DAC:

Register for One-on-one Technical Sessions and Demonstrations
Design Automation Conference (DAC) – Aldec Booth #2225
June 3-5, 2013 from 9:00am-6:00pm

Sessions are filling up. Pre-register here to reserve your appointment.

[TABLE] cellpadding=”1″ cellspacing=”1″ style=”width: 90%”
|-
| valign=”top” style=”width: 75px” | Session 01:
| valign=”top” | Prototyping Over 100 Million ASIC Gates Capacity
|-
| valign=”top” | Session 02:
| valign=”top” | Hybrid SoC Verification and Validation Platform for Hardware and Software Teams
|-
| valign=”top” | Session 03:
| valign=”top” | Requirements Traceability for Safety-Critical FPGA/ASIC Designs
|-
| valign=”top” | Session 04:
| valign=”top” | Comprehensive CDC Analysis for Glitch free Design
|-
| valign=”top” | Session 05:
| valign=”top” | UVM/SystemVerilog: Verification and Debugging
|-
| valign=”top” | Session 06:
| valign=”top” | VHDL 2008 and Beyond: OS-VVM Continues to Grow
|-
| valign=”top” | Session 07:
| valign=”top” | Accelerate DSP Design Development: Tailored Flows
|-
| valign=”top” | Session 08:
| valign=”top” | Ask Aldec: Demos, Roadmaps, Partners, Q&A, etc.
|-
| valign=”top” | Session 09:
| valign=”top” | CyberWorkBench: C-based High Level Synthesis and Verification
|-

Register for a one-on-one Technical Session at http://www.aldec.com/DAC2013.


Aldec market share is estimated at 38% of all mixed-language RTL Simulators sold to FPGA designers worldwide. (Excludes OEM simulators supplied directly from FPGA vendors).

Aldec delivers high quality EDA solutions for government, military, aerospace, telecommunications, automotive and safety critical applications. Large companies including IBM, GE, Qualcomm, Rohde and Schwarz, Bosch, Texas Instruments, Applied Micro, Hewlett Packard, Toshiba, Intel, NEC, Mitsubishi, LG, Hitachi, NASA, Invensys, Westinghouse, Raytheon, Panasonic, Lockheed Martin, Samsung, as well as mid-size and small firms utilize Aldec EDA verification suites to boost product performance, cut design development cycles and reduce cost.

The Design Automation Conference (DAC)is recognized as the premier event for the design of electronic circuits and systems, electronic design automation (EDA) and embedded systems and software (ESS).

Members are from a diverse worldwide community of more than 1,000 organizations that attend each year, represented by system designers and architects, logic and circuit designers, validation engineers, CAD managers, senior managers and executives, and researchers and academicians from leading universities.

Close to 300 technical presentations and sessions are selected by a committee of electronic design experts offer information on recent developments and trends, management practices and new products, methodologies and technologies.

A highlight of DAC is its exhibition and suite area with approximately 200 of the leading and emerging EDA, silicon, intellectual property (IP), embedded systems and design services providers.

The conference is sponsored by the Association for Computing Machinery (ACM), the Electronic Design Automation Consortium (EDA Consortium), and the Institute of Electrical and Electronics Engineers (IEEE), and is supported by ACM’s Special Interest Group on Design.

Some of the highlights of this year’s DAC include:

  • Keynotes by industry leaders/visionaries
  • Technical Program (panels, special sessions, Designer Track)
  • Forums, tutorials, and workshops
  • Management Day
  • Exhibition Floor
  • Colocated Conferences
  • Awards for professionals and students

And there’s much more!

lang: en_US


Sagantec’s nmigrate adopted and deployed for 14nm technology

Sagantec’s nmigrate adopted and deployed for 14nm technology
by Daniel Nenni on 05-29-2013 at 3:00 pm

Major semiconductor company successfully migrated 28nm libraries to 14nm FinFET

Santa Clara, California – May 29, 2013 – Sagantec announced that its nmigrate tool was adopted by a major semiconductor company for the development of standard cell libraries at 14nm and 16nm FinFET technologies.
This customer already used nmigrate successfully to migrate a library from a 28nm technology implementation to another foundry 14nm FinFET process. The migration from planar 28nm to 14nm FinFET is very challenging, since it needs to deal with new interconnect layers, satisfy stringent restrictions on front-end layers and FinFET device constraints, new MOL structures and rules and double patterning coloring rules. The nmigrate tool deploys an automated two-dimensional, dynamic layout compaction technology which optimally enforces all the above design rules and constraints and delivers a 100% DRC clean and optimal result.

In addition to using nmigrate as a layout migration tool, nmigrate is also used for DRC clean up and design rule updates. In this use model, layout designers draw or modify layout manually, and use nmigrate for final DRC cleanup. This semi-automatic use model provides significant design acceleration and effort savings, and is particularly beneficial in 14nm and 16nm technologies where manual layout design takes much more effort than in previous nodes.

Availability

The nmigrate migration and compaction tool is already available for customers who wish to accelerate the layout design work of libraries in 14nm and 16nm process nodes, or would like to migrate existing planar 28nm or 20nm libraries to 14nm or 16nm FinFET technologies.

This year at DAC

nmigrate migration and DRC-cleanup presentations and demos at the 50[SUP]th[/SUP] Design Automation Conference in Austin TX, can be scheduled here

About Sagantec
Sagantec is the leading EDA provider of process migration solutions for custom IC design. Sagantec’s EDA solutions enable IC designers to leverage their investment in existing physical design IP and accomplish dramatic time and effort savings in the implementation of custom, analog, mixed-signal and memory circuits in advanced process technologies.

These solutions have been used commercially by tier-1 semiconductor companies, and have been proven to reduce layout time and effort by factors of 3x to 20x and enable dramatically faster introduction of IC products in new technology nodes.
Visit Sagantec at www.sagantec.com


The Hot Zone: Do Good While Having Fun

The Hot Zone: Do Good While Having Fun
by Paul McLellan on 05-29-2013 at 12:39 pm


The big 50th Anniversary party for DAC is on Monday night at the home of Austin City Limits. However, you can do good while enjoying yourself and also get into “The Hot Zone”, an exclusive area within the party in the penthouse Jack and Jim Gallery. The Gallery features 30 original photographs from the godfather of music photography, Jim Marshall, and is the largest exhibition of works from the legendary photographer. The HOT Zone features specialty food and premium drinks, airbrush tattoo artist, and photo booth. Entertainment will be provided by Grammy Award winning artists Asleep at the Wheel, Texas Terraplanes, and Vista Roads Band. With a special performance by “The Red Headed Stranger,” the HOT Zone is sure to heat things up in Austin!

All you have to do is to donate $50 or more to CASA. Donate here.

CASA speaks up for children who’ve been abused or neglected by empowering the community to volunteer as advocates for them in the court system. Contributions go directly to CASA to keep children connected to their siblings, teach skills for life, and provide CASA volunteers.

Sponsors include, Atrenta, Ausdia, ChipEstimate.TV, CLK Design Automation, CronoConsortium, IC Manage, Invarian, Jim Hogan LLC, Mod Marketing, Nimbic, Pro Plus Solutions, Shocking Technologies, Si2, Solido, Sonics, Tela Innovations, Uniquify, and Waves Studio.

Full details are here.


BDA Takes on FinFET-based Memories with AFS Mega

BDA Takes on FinFET-based Memories with AFS Mega
by Daniel Nenni on 05-29-2013 at 12:00 pm

Berkeley Design Automation today announced the first silicon-accurate circuit simulation for mega-scale arrays like memories and CMOS image sensors. If this tool lives up to its claims, it is going to be a big deal for FinFET-based circuits, Memory designers are rightly worried about having the accuracy necessary to include detailed physical effects—like all of the new parasitics and variation due to those models.

Today’s memory simulators are traditional fastSPICE tools that are architected to partition circuits, into smaller problems that they solve independently and tie together with an event simulator. This approach inherently introduces at least a few percentage points of inaccuracy. Adding to that, fastSPICE tools utilize table-lookup models which likewise sacrifice accuracy for performance. The result is simulators that are much higher capacity and faster than SPICE, but that require tuning per partition, tuning for different types of simulations (e.g., critical-path timing versus power), tuning for different configurations, and tuning for different modes and corners. Even with all of this tuning, the accuracy of the results is always suspect. While it’s possible to calibrate fastSPICE simulators against SPICE simulators on smaller circuits, because of partitioning and other simplifications, the fastSPICE simulator accuracy for a given circuit does not hold as that is integrated into a much larger circuit.

BDA developed AFS Mega the hard way—by extending its existing foundry-certified AFS Platform to meet the performance, capacity, and design flow requirements of memory simulations without altering the architecture or core engine. That’s right. AFS Mega is just the biggest, baddest SPICE simulator ever. It does not partition circuits, it does not have an event simulator, and it does not use table look-up models.

To be fair, AFS Mega defaults to a few simplifications that can deliver an additional 10x speed on mega-array-based circuits while staying within a few tenths of a percent inaccuracy. That is more than an order-of-magnitude better accuracy than is possible today with even the most diligent tuning of traditional fastSPICE tools—and BDA claims AFS Mega is meeting or beating them in terms of performance. That’s out of the box or with a few global settings.

Want more accuracy? BDA says “no problem.” It will cost you some runtime, but the tool can run’s today’s full macro embedded SRAMs with foundry certified accuracy—accuracy that the company is now certifying at FinFET-based nodes at the world’s four largest foundries.

BDA is not announcing that AFS Mega works with its other AFS Platform capabilities, e.g., AFS AMS or its newly announced Analog Characterization Environment (ACE), but as part of the AFS Platform, support for such capabilities has to be relatively straightforward.

Is AFS Mega real? Of course it is, I’m an SRAM guy and I worked with BDA on this product so I know. But don’t take my word for it, stay tuned for endorsements from the top SRAM suppliers around the world.

lang: en_US


RTL Signoff Theater

RTL Signoff Theater
by Paul McLellan on 05-29-2013 at 11:00 am

We have talked for years about RTL signoff, the idea that a design could be finalized at the RTL level and then most of the signoff would take place there. Then the design would be passed to a physical implementation team who would not expect to run into any problems (such as routing congestion, missing the power budget or similar problems). Obviously this requires tools that run at the RTL level that have adequate predictive power to spot problems so they can be resolved prior to handoff. In particular, since so many designs are essentially assembly of pre-designed IP, they need to work on blocks of IP and pick up potential problems. Further, to be useful, tools for doing this need to run fast otherwise there is no big gain versus doing a trial layout with the full synthesis, place & route suite. The trick is to get almost as much accuracy as you would from doing the full design at a fraction of the cost in runtime and, indirectly, tool license costs.

Atrenta’s Spyglass, in its various flavors, does just this. It works at the RTL level to provide good accuracy and fast runtimes to ensure that the RTL is “good”.

During DAC, Atrenta is running a series of customer/partner presentations in their RTL Signoff Theater. SO stands for Signoff.

[TABLE] class=”cms_table_grid” style=”width: 480px”
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” style=”text-align: center” | Time

| class=”cms_table_grid_td” style=”text-align: center” | Monday
| class=”cms_table_grid_td” style=”text-align: center” | Tuesday
| class=”cms_table_grid_td” style=”text-align: center” | Wednesday
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” | 9.30
| class=”cms_table_grid_td” |
| class=”cms_table_grid_td” | Juniper: Power SO
| class=”cms_table_grid_td” | Juniper: Power SO
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” | 10.30
| class=”cms_table_grid_td” | IPextreme: IP SO
| class=”cms_table_grid_td” | CEA-Leti: Power SO
| class=”cms_table_grid_td” | Cisco: CDC SO
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” | 11.30
| class=”cms_table_grid_td” | CEA-Leti: Power SO
| class=”cms_table_grid_td” | Mentor: Power SO
| class=”cms_table_grid_td” | IPextreme: IP SO
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” | 12.30
| class=”cms_table_grid_td” | Atrenta: What is RTL SO?
| class=”cms_table_grid_td” | TSMC: IP SO
| class=”cms_table_grid_td” | CEA-Leti: Power SO
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” | 1.30
| class=”cms_table_grid_td” |
| class=”cms_table_grid_td” |
| class=”cms_table_grid_td” | TSMC: IP Signoff
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” | 2.30
| class=”cms_table_grid_td” | TSMC: IP SO
| class=”cms_table_grid_td” | Cisco: CDC SO
| class=”cms_table_grid_td” |
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” | 3.30
| class=”cms_table_grid_td” | Tensilica/CDN: IP Market
| class=”cms_table_grid_td” | Atrenta: What is RTL SO?
| class=”cms_table_grid_td” | Atrenta: What is RTL SO?
|- class=”cms_table_grid_tr”
| class=”cms_table_grid_td” | 4.30
| class=”cms_table_grid_td” | Juniper: Power SO
| class=”cms_table_grid_td” | IPextreme: IP SO
| class=”cms_table_grid_td” |
|-

Every day at 5.30 there will be a drawing for an iPad mini.

Full details of Atrenta activities at DAC, including links for registration, are here. Atrenta is at booth 1847.


Transistor, Gate and RTL Debug Update at DAC

Transistor, Gate and RTL Debug Update at DAC
by Daniel Payne on 05-29-2013 at 10:53 am

Debugging an IC design at the transistor, Gate and RTL levels is often necessary to meet timing requirements and understand analog or digital behavior, yet the process itself can be a tedious one, filled with manual steps, therefore making it an error-prone process. EDA tools have been created to help us graphically debug transistor, Gate and RTL designs, and one company called Concept Engineeringis appearing at DACagain this year in Austin to showcase many incremental improvements to their debugging tools. To get an overview of Concept Engineering visit the Wiki page.

I first started using SpiceVision PRO more than a decade ago to read in a SPICE netlist, and then traverse it graphically by creating on-the-fly schematics when no schematics were available to me. This graphical view allowed me to quickly understand my SPICE netlist and its simulation behavior.

At DAC next week you’ll get an update on several improvements:

1) Parasitic netlist debugging, new support of SPEF (Standard Parasitic Exchange Format) input format to StarVision PROand SpiceVision PRO tools.

Many extraction tools create the SPEF format, so now you can use that input format for post-layout created netlists.

In the schematic viewer tool above you can see in the top window a very readable auto-generated schematic of an IC netlist, then by just clicking the interconnect between cells you see the RC interconnect appear in the lower window. This is a great time saver from having to stare at a text netlist to understand how the interconnect was extracted.

2) Improved digital debugging in RTLvision PRO (RTL debug), GateVision PRO(Gate debug) and StarVision PRO (mixed-signal debug) by:

  • Improved waveform viewing
  • Improved automatic path extraction (points A to B)
  • Improved clock tree visualization and clock domain crossing visualization.


​Clock Tree Extraction

3) Visualization engine improvements in EDA widgets (used by other EDA companies) called Nlviewand T-Engine.

  • Improved support for system-level visualization
  • New support for stacked or arrayed components
  • New logic cloud component that can include or hide logic elements and is represented by a cloud symbol


Nlview


T-engine

To see these improvements at DAC visit Concept Engineering in booth #1842, and ask for Gerhard Angst.

lang: en_US


The never-ending quest to kill metastability

The never-ending quest to kill metastability
by Don Dingee on 05-28-2013 at 4:00 pm

The difficulty of an engineering problem can be gauged by two things:
1) The number of attempts to generate a solution.
2) The degree of hyperbole used to describe the effectiveness of the latest solution.

The problem many folks in the EDA industry are after right now is clock domain crossings (CDCs) and the resulting metastability of designs. Continue reading “The never-ending quest to kill metastability”