DISTRIBUTECH® 2025

Kay Bailey Hutchison Convention Center Kay Bailey Hutchison Convention Center, 650 S Griffin St, Dallas, TX, United States

About DISTRIBUTECH® is the largest, most influential transmission and distribution event in the country, now expanding with focused events on Data Centers & AI, the Midwest, and the Northeast to best support a dynamic industry. DISTRIBUTECH's® flagship event offers a wealth of education, connections, and solutions that drive the industry forward through the conference program …

ESD Alliance Webinar: Hardware Fuzzing: What? Why? How?

Online

The ESD Alliance, a SEMI Technology Community, is hosting a webinar series, "Savage on Security," moderated by Warren Savage, Researcher at University of Maryland, Applied Research Laboratory for Intelligence and Security. Hardware is at the heart of computing systems. However, recent years have seen increased attacks exploiting hardware vulnerabilities and exploits, which even traditional software-based …

IRPS 2025: International Reliability Physics Symposium

Hyatt Regency Monterey 1 Old Golf Course Road, Monterey, CA, United States

About Us For over 60 years, IRPS has been the premiere conference for engineers and scientists to present new and original work in the area of microelectronics reliability. Drawing participants from the United States, Europe, Asia, and all other parts of the world, IRPS seeks to understand the reliability of semiconductor devices, integrated circuits, and …

OFC 2025

Moscone Center 747 Howard Street, San Francisco, CA, United States

The 2025 OFC Conference and Exhibition Explore the Thriving and Ever-Expanding Optical Communications and Networking Industry - Register Now The 2025 Optical Fiber Communications Conference and Exhibition (OFC) is back to solidify its status as the premier global event for optical networking and communications. With over 13,500 expected registrants from 83+ countries, a showcase of more than 600 exhibiting global companies, and hundreds of …

DATE 2025 Europe: Design, Automation and Test in Europe

Centre de Congrés de Lyon 50 quai Charles e Gaulle, Lyon, France

DATE 2025 offers numerous opportunities to get in contact with the DATE community and to advertise their novel solutions. Download the DATE 2025 Promotion & Sponsorship Opportunities here DATE 2025 is the perfect opportunity to present and communicate your technological and business capabilities to scientific, industrial and commercial audiences at one single European event. All companies, institutions, universities, initiatives …

Hannover Messe 2025

Hannover Exhibition Centre Hannover Messe, Halle, Hermesallee, Hannover, Germany

SHAPING THE FUTURE WITH TECHNOLOGY At the world’s leading industrial trade fair, companies from the mechanical engineering, electrical engineering and digital industries as well as the energy sector will come together to present solutions for a high-performance, but also sustainable industry. More than 4,000 companies will be acting as an interconnected industrial ecosystem and demonstrating …

Bootcamp: Superconducting Quantum Design Challenges

Online

This bootcamp guides you through the design of superconducting qubits and quantum amplifiers with product demonstrations to illustrate the concepts you can apply in your design flow today. By the end of this bootcamp, you will have a pathway toward realizing robust and scalable superconducting quantum chips, accelerating progress in quantum computing and quantum information …

SEMIEXPO HEARTLAND 2025

Indiana Convention Center Indianapolis, IN, United States

INAUGURAL EVENT FOCUSED ON SMART MANUFACTURING & SMART MOBILITY DRIVING SEMICONDUCTOR BUSINESS IN THE MIDWEST  Join us for a groundbreaking Midwest conference and tradeshow on April 1-2, 2025, focused on Smart Manufacturing and Smart Mobility with an emphasis on the semiconductor industry! Automotive electronics and smart manufacturing are two of the key end markets on …

2025 US ESD Workshop (US-IEW)

Hyatt Regency Monterey 1 Old Golf Course Road, Monterey, CA, United States

About Us Now in its 18th year, the IEW continues to provide a relaxed, invigorating atmosphere to present new work and engage in discussions about the latest issues confronting the ESD and EOS communities. In 2025 IEW-US will again co-locate with the International Reliability Physics Symposium (IRPS). In addition to everything IEW-US provides, IEW-US registrants …

Webinar: Tessent UltraSight-V – An on-chip debug and trace solution for RISC-V systems

Online

An infrastructure to enable debug and trace for your RISC-V systems is essential to identifying root-causing bugs. In this presentation, we will give an overview of Tessent UltraSight-V, an end-to-end RISC-V debug and trace solution consisting of embedded IPs and software that integrate with industry-standard tools. We will explain how the integration of UltraSight-V’s on-chip …

Electric Machine Innovation Conference 2025

Porsche Experience Center Hockenheimring Am Motodrom 9-11, Hockenheim, Germany

Empowering Engineers to Electric Machine Design This in-person technical conference will focus on the latest technological advancements in electric machine development. A range of speakers from the industry will discuss their challenges and approaches in this area. In the presentations and workshops, you will learn about technology updates and hear from prominent automotive companies about …

Join the Discussion: Foundry ESD Checks & Standardized ESD Compact Models at US-IEW 2025

Hyatt Regency Monterey 1 Old Golf Course Road, Monterey, CA, United States

The ever-evolving landscape of semiconductor design demands robust ESD protection strategies that balance performance, reliability, and compliance. At the 2025 International Electrostatic Discharge Workshop (US-IEW), industry leaders will come together to tackle two of the most pressing topics in ESD: Foundry ESD Checks and IC Requirements and Standardized ESD Compact Models. We’re excited to announce that Stephen Fairbanks, CEO and …

Bio-IT World Conference and Expo 2025

Omni Boston Hotel at the Seaport 450 Summer Street, Boston, MA, United States

About Bio-IT World Conference & Expo Bio-IT World Conference & Expo is the premier global event showcasing technologies and analytic approaches that solve problems, accelerate science, and drive the future of precision medicine. By uniting a community of experts in life sciences, pharmaceuticals, clinical research, healthcare, informatics, and technology, the event pushes the boundaries of …

Webinar: Physics-based Analog Design Optimization

Online

Learn about the revolutionary AI-driven electromagnetic-aware methodology of Ansys that automates the optimization of the floor plan of analog and RF physical layouts. DATE / TIME: April 2, 2025 9 AM EDT / 3 PM CEST Overview Analog/RF IC design has been traditionally considered an art – sometimes even a “black art” – because, contrary …

Webinar: Physics-based Analog Design Optimization

Online

Learn about the revolutionary AI-driven electromagnetic-aware methodology of Ansys that automates the optimization of the floor plan of analog and RF physical layouts. DATE / TIME: April 2, 2025 9 AM EDT / 3 PM CEST Venue: Virtual Overview Analog/RF IC design has been traditionally considered an art – sometimes even a “black art” – …

Webinar: Enhancing Power Converter Design with Advanced Modeling and Simulation

Online

About this event This webinar will guide you through a modernized design process, covering everything to ensure a more comprehensive and accurate design. This includes: Modeling transistors and creating schematics to perform transient simulations. Performing electromagnetic simulations to account for parasitic effects. Introducing post-layout simulation to help reduce the need for multiple design spins and …

Webinar: Multiphysics Simulation in Semiconductor Packaging

Online

Semiconductor packaging is a critical step in the development of modern electronic devices, influencing their performance, reliability, and thermal management. The complexity of packaging technologies has grown significantly with the demand for miniaturization, higher power densities, and improved mechanical and thermal robustness. Addressing these challenges requires a comprehensive understanding of the multiphysics interactions within semiconductor …

Sea-Air-Space 2025

Gaylord National Resort & Convention Center Gaylord National Resort & Convention Center, 201 Waterfront St, Oxon Hill, MD, United States

Powered by the Navy League of the United States, Sea-Air-Space is the premier maritime exposition in the U.S., bringing together defense industry leaders and top military decision-makers from around the world to share the latest advancements in the maritime domain. With industry leading speakers and events featured across three impactful days, Sea-Air-Space is a must-attend …

15th CS International Conference

Brussels, Belgium

CONNECTING THE CS INDUSTRY The 15th CS International builds on the strengths of its predecessors, with around 40 leaders from industry and academia delivering presentations that fall within five key themes: GaN: Going beyond fast charging; Maintaining momentum for the microLED; Optimising opportunities for SiC success; Expanding the emission envelope; and Ultra-wide bandgap materials: The …

2025 MRS Spring Meeting & Exhibit

Seattle Convention Center 705 Pike Street, Seattle, WA, United States

See you in Seattle! Time and time again, multidisciplinary research is touted as essential to innovation. That is why, from April 7-11, 2025, researchers working in seemingly unrelated fields will gather in Seattle, Washington to promote, share and discuss issues and developments across disciplines at the 2025 MRS Spring Meeting & Exhibit. Meeting venues include the Summit, the Seattle …

ICA Summit 2025

Frankfurt, Germany Frankfurt, Germany

About the Event The 5th ICA Summit 2025 is a premier international conference and exhibition in Autonomous and Connected Mobility. With a successful track record in Munich and Frankfurt, our event has grown significantly since 2021, and we are thrilled to announce our 5th edition in Frankfurt. Join industry experts to hear the frontline industry conversations –  Innovative, Connected, and …

Webinar: 5 Key Trends Shaping Automotive Electronics in 2025 and beyond

Online

Key Insights on AI, EVs, and Semiconductor Trends The automotive industry has long evolved gradually—but 2024 proved to be anything but typical. With major automaker CEOs resigning and suppliers facing unexpected challenges, including a downturn in semiconductor demand despite increasing chip content per vehicle, the landscape is shifting rapidly. Stay Ahead of the Curve! Join …

Webinar: How Embedded Data Management in ​​​​​​​Cadence Virtuoso Studio Supercharges Analog Design (USA)

Online

How Embedded Data Management in Cadence Virtuoso Studio Supercharges Analog Design Join us on April 8 to discover how to unlock the power of built-in data management using Keysight Design Data Management (SOS) within Cadence Virtuoso Studio. Here’s What You Can Learn How to eliminate design rework and data loss issue How this fully embedded …

Webinar: Electromagnetic Simulation in IoT Embedded System

Online

This webinar explores the role of electromagnetic simulation in IoT using Ansys solutions, highlighting cost reduction, reliability, and accelerated design through virtual testing before prototyping. The webinar will be presented by one of our customers, SECO spa. DATE / TIME: April 8, 2025 4 PM CEST Venue: Virtual Overview The best way to solve complex …

Webinar: Simplifying Interface Protocol Verification with Veloce Transactor Library

Online

As hyperscaler chiplet and SoCs grow in complexity, integrating and validating multiple high-speed and low-speed interface protocols—such as PCIe, CXL, UCIe, AMBA, AXI, AHB, CHI, CSI2, and DSI2, can be a significant challenge. Design Verification Engineers and Technical Managers must ensure seamless protocol compliance while staying focused on their core ASIC design value. Join us …

Webinar: Simplifying Interface Protocol Verification with Veloce Transactor Library

Online

As hyperscaler chiplet and SoCs grow in complexity, integrating and validating multiple high-speed and low-speed interface protocols—such as PCIe, CXL, UCIe, AMBA, AXI, AHB, CHI, CSI2, and DSI2, can be a significant challenge. Design Verification Engineers and Technical Managers must ensure seamless protocol compliance while staying focused on their core ASIC design value. Join us …

Webinar: Verifying AI Designs – Solving the Challenge of Quadrillions of Verification Cycles

Online

Today’s AI designs stress verification teams to an unprecedented extent. The compound complexity from software, hardware, interfaces, and architecture options leads to the challenge of running quadrillions of verification cycles across IP, sub-systems, SoCs, and Multi-die designs. Learn how leaders from AMD, Arm, Nvidia, and others address these challenges with Synopsys’ latest family of Hardware-Assisted …

Webinar: How Embedded Data Management in ​​​​​​​Cadence Virtuoso Studio Supercharges Analog Design (Europe)

Online

Join us on April 9 to discover how to unlock the power of built-in data management using Keysight Design Data Management (SOS) within Cadence Virtuoso Studio. Here’s What You Can Learn How to eliminate design rework and data loss issue How this fully embedded solution enhances productivity and ensures faster Time-to-Market Live demo showcasing seamless …

Webinar: Eliminating Vibrations: Optimizing Mass Dampers with Simulation and Testing

Online

Discover how cutting-edge transient structural analysis and experimental testing can solve even the toughest challenges in this upcoming presentation. DATE / TIME: April 9, 2025 10 AM EDT / 4 PM CEST / 7:30 PM IST Venue: Virtual Overview This webinar will showcase how transient structural analysis and experimental testing optimized a mass damper to …

DVCon China 2025

Shanghai Renaissance Pudong Hotel Renaissance Shanghai Pudong, 719 Yingchun Rd,, Pudong, Shanghai, China

Hello everyone! Welcome to the 2025 DvCon China Conference! As the chair of this conference, l am truly honored to be here with all of you. lt's exciting to gather together and discuss the latest trends and cutting-edge technologies in the field of design verification. In recent years, we've seen tremendous growth in China's chip …

Webinar: Master RF Signals: New Compact Solutions

Online

About this event Accurate, precise, and fast RF / μW analysis made simple. Be among the first to explore our newest and most extensive collection of compact signal generators and source analyzers. Experience live product demos and gain insights into the unique features of each product, including: • Ultra-low phase noise • Signal purity • …

Webinar: Implementing State-of-the-Art Digital Protection with Rambus CryptoManager Security IP

Online

The pace of value creation driven by AI is unprecedented, starting with model training in the data center which further enables a vast array of inference applications across different industries. Protecting this valuable data is mission critical. With the introduction of its latest generation CryptoManager Security IP, Rambus offers chip architects and designers the means …

TSMC North America Technology Symposium 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

Join us to get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low …

RSAC 2025

Moscone Center 747 Howard Street, San Francisco, CA, United States

Unite. Innovate. Shine. Join the RSAC 2025 Community. At RSAC 2025, you're not just attending a conference—you're stepping into a vibrant, thriving community of thinkers, innovators, and achievers. Though we come from different corners of the cybersecurity world, we are united by a common mission: to foresee risks, counter threats, and embrace the challenges ahead. …

Intel Foundry Direct Connect 2025

San Jose McEnery Convention Center 150 W San Carlos St, San Jose, CA, United States

Transform your Perspective Gain insights into how our customers and partners collaborate with Intel Foundry, explore cutting-edge packaging and test solutions, and delve into the world of resilient supply chains. Get ready to be inspired for the future! Engage with Experts Discover how our cutting-edge process technologies and strong ecosystem alliances have converged into a …

TSMC Austin Technology Workshop 2025

Hyatt Regency Austin Hyatt Regency Austin, 208 Barton Springs Rd, Austin, TX, United States

Join us to get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low …

Webinar: PCIe 7.0? Understanding Why Now is the Time to Transition

Online

Join us for an Q&A technical session with Madhumita Sanyal, technical product director of HPC IP, and Richard Solomon, principal product manager and vice-president of PCI-SIG, discussing the pivotal role of PCIe 7.0 in enabling high-performance computing, AI clusters, and next-gen chip designs. This session will explore the need of transitioning to PCIe 7.0 for …

Webinar: Advanced qualification of smart vibration sensors for optimizing IoT applications

Online

Webinar content Smart vibration sensors are used, among other things, in infrastructure monitoring in smart cities and in condition monitoring on machines and industrial plants. The sensors used are often based on microelectronic sensors (MEMS), which are tested as components in production but sometimes exhibit different vibration properties in the finished sensor system. In this …

Webinar: Trump’s Tariff Tensions: What’s Next for the Semiconductor Industry?

Online

From the U.S. and EU to Southeast Asia and beyond, countries are redrawing the lines of technology competition and economic security. What does it mean for semiconductor design, manufacturing, and supply chains? How current and proposed semiconductor tariffs are reshaping the global industry The effects of export restrictions, foreign investment controls, and regional policy shifts …

Webinar: Boost Verification Efficiency with VC Execution Manager: Harnessing AI/ML for Superior Regression Management

Online

Featured Speakers: Gopinath Lakshmi Narasimhan, Sr. Architect Applications Engineer Robert Ruiz, Sr. Director, Product Management Why You Should Attend: Discover the innovative capabilities of Synopsys VC Execution Manager for streamlined verification processes. Learn about the seamless integration of advanced AI/ML tools for optimized coverage convergence and efficient debugging. Explore how VC Execution Manager improves grid …

“Silicon Alley: Navigating the New Realities of the Semiconductor Supply Chain in Asia”

Palo Alto Hills Country Club 3000 Alexis Drive, Palo Alto, CA, United States

Dimerco Express Group would like you to be our guest on May 5 at the Palo Alto Hills Country Club for an event that mixes learning, professional networking, and a little bit of fun on the golf course – all to benefit the Hope Technology School. SEMINAR (8:45 – 11 am) “Silicon Alley: Navigating the …

ASMC 2025

Hilton Albany 40 Lodge St, Albany, NY, United States

ASMC brings together manufacturers, equipment and materials suppliers, and academia to solve manufacturing challenges with innovative strategies and methodologies. Advancing Semiconductor Manufacturing Excellence ASMC is the leading international technical conference for discussing solutions that improve the collective manufacturing expertise of the semiconductor industry. Solving the challenges presented by semiconductor manufacturing is a combined effort by …

GSA European Executive Forum 2025

Sofitel Munich Bayerpost Sofitel Munich Bayerpost, Bayerstrasse 12, Munich, Germany

The GSA European Executive Forum, GSA’s premier event in Europe, will unfold over two exciting days, bringing together over 250 senior executives, influential speakers, and esteemed exhibitors from around the globe. This prestigious gathering will further solidify its reputation as the ultimate executive event for the semiconductor industry in the EMEA region. Attendees will also …

RFID Journal LIVE! 2025

Paris Las Vegas Paris Las Vegas, 3655 S Las Vegas Blvd, Las Vegas, NV, United States

Discover RFID and IoT Technology Solutions With The World’s Largest Gathering of Qualified RFID Buyers and End Users May 6 – 8, 2025 RFID Journal LIVE! is the world’s largest conference and exhibition focused on radio frequency identification and related technologies. Now in its 23rd year, LIVE! typically features more than 100 exhibitors from 26 …

CYBERUK 2025

Manchester, UK Manchester, England, United Kingdom

CYBERUK is the UK government's flagship cyber security event, hosted by the National Cyber Security Centre. It convenes over 2,000 cyber security leaders and professionals each year for networking, knowledge exchange, and collaboration. For CYBERUK 2025 we are returning to Manchester and its thriving cyber security community. Join us as we look to create a …

TSMC Boston Technology Workshop 2025

Boston Marriott Burlington Boston Marriott Burlington, One Burlington Mall Road, Burlington, MA, United States

Join us to get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low …

Webinar: How Spotify Optimizes Performance and Cost with Google Axion

Online

As businesses demand more from their cloud infrastructure, balancing performance, cost, and scalability is more critical than ever. In this webinar, experts from Google Cloud and Arm will break down the benefits of Google Axion Processors—Google’s first custom Arm-based CPU designed for datacenters—and explain why industry leaders like Spotify are adopting them for superior price-performance. Gain insights into the underlying Arm Neoverse architecture, explore real-world …

Webinar: In-Field RAS Challenges with Chiplets and AI-based Systems

Online

Featured Speakers: Jyotika Athavale, Director, Engineering Architecture, Synopsys Yervant Zorian, Chief Architect & Fellow, Synopsys Abstract: Advancements in data center and automotive System-on-Chips (SoCs) to meet AI workload demands have resulted in the increased adoption of emerging technology nodes and chiplet packages. This presentation will explore the resiliency challenges faced by these critical systems. Additionally, …

Webinar: Can Intel Reclaim Its Crown in the Semiconductor World?

Online

Join David MacQueen and James Sanders as they explore Intel’s bold strategies to regain dominance in the semiconductor industry. With increasing competition from AMD and TSMC, Intel faces significant challenges in its bid to reclaim leadership. This webinar will provide expert insights into the market forces shaping Intel’s comeback, its manufacturing roadmap, and the impact …

Webinar: Using Veloce PCD to implement an Arm CSS with confidence

Online

Software Defines Everything For today’s SoC and system designs, hardware is designed and optimized for the software workload. Workloads can include firmware, multi-OS architectures, AI/ML and complex graphics. These combined produce large software models that put pressure on system-level verification. What is the Arm Compute Subsystem (CSS)   A compute subsystem is a pre-integrated, optimized …

VOICE Developer Conference 2025

Austin, TX Austin, TX, United States

VOICE is a developer conference, created by test engineers for test engineers. Each year, the VOICE Developer Conference unites semiconductor test professionals representing the world's leading integrated device manufacturers (IDMs), foundries, fabless semiconductor companies and outsourced semiconductor assembly and test (OSAT) providers to exchange information about the latest technology advancements, express new ideas, share best …

RISC-V Summit Europe 2025

Paris, France Paris, France

The RISC-V Summit Europe is the premier event that connects the European movers and shakers – from industry, government, research, academia and ecosystem support – that are building the future of innovation on RISC-V. RISC-V, the open standard instruction set architecture (ISA), is enabling a range of new applications and research that will define the future of computing …

User2User Europe 2025

Munich, Germany

Join us in Munich where peer-delivered technical sessions will be shared across a breadth of topics so you can explore new concepts or dive deep in your core area of expertise with fellow like-minded professionals. Munich, Germany | May 13, 2025 Registration opens Feb. 10, 2025. About User2User The User2User conference is your opportunity to …

Industry Update Webinar 2025

Online

Semiconductor Industry Market Forecast - Slide Deck & Video Link Now Available Presented Via Zoom, 14 Jan 2025 3-4pm UK (BST, GMT+1) Mark your diary now - IFS Industry Update Webinar 13 May 2025 The insights we have presented at our previous IFS events have consistently proved right. Has the chip market finally started its …

IFS Update 2025

Online

Industry Update Webinar - 13 May 2025 Presented Via Zoom, 3pm-4pm UK BST (UMC/GMT+1) Registration Open Now! Has the chip market finally started its broader industry recovery? How much longer will the AI-infrastructure boom last? What will be the likely impact of Donald Trump’s global tariff vendetta? What fate now awaits the industry in 2025? …

Commercialising Quantum Global 2025

Business Design Centre 52 Upper Street, London, London, United Kingdom

Join us at Europe's largest quantum event We look forward to seeing you in 2025 where we will welcome 1,000 attendees from 40+ countries!  At Commercialising Quantum Global, our case study-led agenda sets the stage for vital discussions on how organisations should prepare for and implement quantum technology. We provide an accessible and in-depth debate …

ChipEx2025

Expo Tel Aviv Expo Tel Aviv, Rokach Blvd 101, Tel Aviv-Yafo, Israel

The major annual event of the Israeli semiconductor industry ChipEx2025, the largest annual event of the Israeli semiconductor industry, will be held on May 13-14, 2025 in Tel Aviv, Israel. ChipEx2025 showcases companies including manufacturers, developers and suppliers of advanced hardware technologies & services. It also includes a technical seminar where the world's leading experts address the industry's most relevant …

Webinar: Using Digital Engineering to Optimize UAV System Design

Online

This webinar showcases digital engineering tools for analyzing antenna design alternatives in UAV-to-ground communication systems. DATE: May 14, 2025 Venue: Virtual Overview Ansys is a digital engineering technology partner. This webinar is part of a series that showcases how these technologies empower businesses to design, simulate, and validate the most complex products faster and more …

TSMC Taiwan Technology Symposium 2025

Sheraton Hsinchu Hotel Sheraton Hsinchu Hotel, No. 265號, E Section 1, Guangming 6th Rd, Zhubei City, Hsinchu County, Taiwan

Get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low power, RF, embedded …

NAFEMS World Congress 2025

S​alzburg Congress Auerspergstraße 6, Salzburg, Austria

Be part of the largest independent, international conference dedicated exclusively to engineering simulation. D​iscover new technologies & innovative techniques, whilst networking with end-users, software vendors, consultants and academics, in this worldwide celebration of simulation. Immerse yourself in the world of simulation, present your work, learn from others, and forge lasting professional relationships with your peers in the modelling and …

Semiconductor Reliability and Product Qualification – Munich

Munich, Germany

Product reliability and qualification continues to evolve with the electronics industry. New electronics applications require new approaches to reliability and qualification. In the past, reliability meant discovering, characterizing and modeling failure mechanisms, and determining their impact on the reliability of the circuit. Today, reliability can involve tradeoffs between performance and reliability; assessing the impact of …

User2User North America

Santa Clara Marriott Santa Clara, CA, United States

Join us for the User2User North America event, which is a dedicated environment for exchanging ideas, information, and best practices that enable you to lead in your role and achieve success with your customers. Santa Clara, CA | Santa Clara Marriott May 20, 2025 Registration opens Feb. 10, 2025. About User2User The User2User event is …

Embedded Vision Summit 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

Founded in 2011, the Edge AI and Vision Alliance is a worldwide industry partnership that brings together technology providers who are enabling innovative and practical applications for edge AI and computer vision. Its nearly 100 Member companies include suppliers of processors, sensors, software and services. The mission of the Alliance is to accelerate the adoption of edge AI …

Ansys Simulation World UK

The MTC (Manufacturing Technology Centre) The MTC (Manufacturing Technology Centre), Lloyds Bank Advanced Manufacturing Training Centre Airfield Drive, Ansty Business Park, Coventry, United Kingdom

Event Overview After a successful 2024 Innovation Conference, this year's event will form part of the Simulation World global series, expanding the event scope and offerings to provide you with …

RAeS FCAS Summit 2025: Redefining the Future of Air and Space Power

Royal Aeronautical Society Headquarters Royal Aeronautical Society Headquarters, No.4 Hamilton Place, London, United Kingdom

Royal Aeronautical Society Headquarters Conference Booking is now open for the third edition of the Royal Aeronautical Society's FCAS Summit! Click the 'Book now' button near the end of this page to reserve your ticket. The UK and global defence landscape is increasingly complex, with heightened geopolitical tensions and rapid technological advancement presenting both opportunities …

Webinar: Application-Specific Processors (ASIPs) for Wireless Communication SoCs

Online

Featured Speakers: Dr. Falco Munsche, Technical Product Marketing, Synopsys Junsu Heo, SoC Design Lab, Konkuk University, Korea Learn about: Synopsys ASIP Designer, the industry-leading tool to explore, design and optimize application-specific processors ASIP design methodology to address challenges in modern wireless communication systems Several ASIP designs crafted with the tool, including ASIPs for FFT, channel …

Webinar: Solving the Semiconductor Verification Crisis: From Problem to Productivity

Online

Wednesday, May 21 - 8:00 AM Pacific The semiconductor industry faces a critical Verification Productivity Gap 2.0, driven by increasingly complex technologies including 3DICs, chiplet-based designs, and software-defined architectures. This challenge is compounded by demands for Enhanced security, Reduced power consumption, Improved reliability, Greater sustainability and Talent shortage mitigation. Traditional verification methods, including constrained-random and …

Webinar: AMD Presents: 3D Odyssey – Navigating the Depths of 3DIC Feasibility Analysis

Online

Date: May 21, 2025 | 10:00 AM PDT Featured Speakers: Nitin Navale, Principal Member of Technical Staff, AMD Amlendu Choubey, Sr. Director, Product Management, Synopsys Why You Should Attend: With the rising demand for highly efficient 3DIC design and performance, it’s crucial to understand the IR and thermal landscape of a product as early as possible in the design …

Webinar: Evaluating LLM Agents: Metrics, Methods, and Practical Examples

Online

Webinar Content Dive into the world of evaluating Large Language Model (LLM) agents with a focus on practical insights and actionable strategies. This webinar will cover key evaluation metrics and methodologies to assess the performance, reliability, and effectiveness of LLM agents in diverse applications. Gain a comprehensive understanding of how to design evaluation frameworks that …

ESD Alliance 2025 Executive Outlook

Keysight Keysight, 5301 Stevens Creek Blvd., Building 5, Santa Clara, CA, United States

Join the ESD Alliance for an informative evening of networking, presentations, and a panel! Time 5:30 pm - 8:30 pm PDT How Multi-Physics is Reshaping Chip Design and EDA Tools Increasing system complexity and shrinking semiconductor devices are changing the chip design landscape. Traditional single-domain analyses are no longer sufficient to ensure a successful product. …

30th IEEE European Test Symposium (ETS) 2025

Tallinn, Estonia Tallinn, Estonia

The 30th IEEE European Test Symposium (ETS) is Europe's premier forum dedicated to presenting and discussing scientific results, emerging ideas, hot topics and new trends, as well as industrial case-studies and applications in the area of electronic-based circuits and systems testing, reliability, safety, security and validation. This year we will celebrate the anniversary 30th edition of ETS! …

Electronic Components and Technology Conference (ECTC) 2025

Gaylord Texan Resort and Convention Center 1501 Gaylord Trail, Grapevine, TX, United States

About ECTC The Electronic Components and Technology Conference (ECTC) is the premier international event that brings together the best in packaging, components and microelectronic systems science, technology and education in an environment of cooperation and technical exchange. ECTC is sponsored by the IEEE Electronics Packaging Society. The technical program contains papers covering leading edge developments …

TSMC Europe Technology Symposium 2025

Hilton Amsterdam Airport Schiphol Hilton Amsterdam Airport Schiphol, Schiphol Boulevard 701, 1118 BN Schiphol Airport, Amsterdam, Netherlands

Join us to get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low …

Webinar: Streamlining Requirements Traceability Using Questa Verification IQ Testplan Author

Online

Wednesday, May 28 - 8:00 AM Pacific Managing traceability across multiple disconnected tools and data is a challenge that often leads to inefficiencies, missed coverage, and increased risk in safety-critical designs. In this webinar, discover how Questa Verification IQ Testplan Author seamlessly integrates with Application Lifecycle Management tools (such as Siemens Polarion and Jama Connect) …

SNUG Europe 2025

Hilton Munich Airport Hilton Munich Airport, Terminalstraße Mitte 20, München-Flughafen, Germany

Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …

2025 ISPE Biotechnology Conference

The Westin Boston Seaport District The Westin Boston Seaport District, 425 Summer St, Boston, MA, United States

Hear From Top Industry Thought Leaders as They Showcase Challenges and Solutions Impacting the Pharmaceutical Industry The 2025 ISPE Biotechnology Conference will bring together leading pharmaceutical and biopharmaceutical manufacturers, technology providers, academic scientists, and international regulators to network, share insights, and provide an outlook on the evolving landscape and future of the development and manufacturing …

Realize LIVE Americas 2025

Detroit, MI Detroit, MI, United States

Join our community of thinkers and doers in design, manufacturing, and lifecycle management to accelerate your digital transformation. Detroit, Michigan | June 2-5, 2025 Registration opens Jan. 14, 2025. Where your digital transformation gets real. Fast. Join our community of thinkers and doers in design, manufacturing, and lifecycle management to accelerate your digital transformation. Relive …

Webinar: Transforming RF PCB Design: Advanced Co-Simulation and EM Analysis

Online

Explore how cutting-edge tools from Cadence are transforming RF PCB design for wireless, aerospace, and automotive innovations. This webinar showcases the seamless integration of Allegro X and the AWR Design Environment to simplify RF IP integration and streamline full PCB system design. Learn how automation and advanced electromagnetic (EM) can help you deliver accurate, reliable …

Webinar: Enhancing Automotive Safety Verification Using Questa One Sim FX

Online

Wednesday, June 4 - 8:00 AM Pacific In today's automotive electronics, ensuring functional safety is paramount for meeting stringent industry standards. This webinar introduces Questa One Sim FX, a cutting-edge fault simulation platform designed specifically for complex automotive designs. We'll explore how this high-performance tool revolutionizes the safety verification process through intelligent fault injection at …

Webinar: Intel Presents: Modern EDA Solutions for Scalable Heterogeneous Systems

Online

Featured Speakers: Vivek Rajan, Sr. Principal Engineer, Intel Amlendu Choubey, Sr. Director, Product Management, Synopsys Why You Should Attend: Rapidly emerging new workloads and disruptive architectures have highlighted the importance of advanced packaging and 3DIC technologies. The scaling of Moore’s Law has pushed the boundaries of Electronic Design Automation (EDA) over the last 40 years. The …

PCI-SIG Developers Conference 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

The PCI-SIG Developers Conference 2025 is returning to Santa Clara on June 11-12, 2025! Members of the PCI-SIG community including systems architects, designers, engineers, and engineering managers all agree this is an event you won’t want to miss. Overview The PCI-SIG Developers Conferences is a free event for our 900+ member companies that develop and bring to market …

TSMC Technology Symposium Japan 2025

Yokohama, Japan Yokohama, Japan

Japan Technology Symposium Date Wednesday, June 11 Time 10:00 a.m. - 6:00 p.m. Venue Pacifico Yokohama North, 1-1-2, Minatomirai, Nishi-ku, Yokohama 220-0012, JAPAN Registration will be closed on 6/4. VoD (Video on Demand) will be available starting from 7/3. Registration will close on 7/25. Get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive …

List Tech Days 2025

CEA-LIST - Nano-INNOV CEA-LIST - Nano-INNOV, 2 Boulevard Thomas Gobert, Palaiseau, France

Join us at List Tech Days 2025 and be among the first to discover the groundbreaking technologies and innovations that will revolutionize your business. With over 500 industry decision-makers in attendance, this is your opportunity to connect with top-tier experts and gain valuable insights from keynote speeches and round table discussions, explore cutting-edge tech demonstrators and see firsthand the innovations that will drive …

Webinar: Tackling Emerging DFT Verification Challenges with Questa One

This course will be held Online

Rising semiconductor complexity—driven by multi-die architectures, the move towards more advanced technology nodes, and more stringent reliability targets, is dramatically increasing the volume of verification required to achieve DFT verification sign-off. Come learn how the Questa One DFT Verification solution, combined with Tessent Silicon Lifecycle Solutions delivers an evolution in user experience and performance to …

Webinar: AI/ML Algorithm Design and Testing Toward 6G

Online

June 12, 2025 | 10:00 AM PDT 1 Hour Artificial intelligence and machine learning (AI/ML) are revolutionizing wireless communications, creating opportunities for innovation and greater efficiency in the communication landscape. However, designing and testing AI/ML algorithms for dynamic and complex wireless environments presents unique challenges. Join Keysight’s 6G expert Abhinav Mahadevan as he explores the integration …

Webinar: EMX Planar 3D Solver – Key New Features and Updates

Online

Webinar Details The increasing complexity of chip designs that leverage 3D-IC technology, heterogeneous integration, and other manufacturing advancements, emphasizes the need for accurate modeling of electromagnetic (EM) crosstalk. EM solvers continue to play a key role in solving larger problems both in terms of layout size and number of ports. The latest release of the …

Webinar: Implementing High Performance Real-Time Designs Using Synopsys ARC Processor IP

Online

Featured Speakers: Rick Furtner, Processor IP Applications Engineering, Synopsys Why You Should Attend: Join us for an insightful webinar where we delve into the latest advancements in Synopsys ARC Processor IP, designed to meet the stringent demands of real-time processing systems across industries. Gain valuable knowledge on how these innovations can optimize your designs for …

Webinar: Implementing High Performance Real-Time Designs Using Synopsys ARC Processor IP

Online

Featured Speakers: Rick Furtner, Processor IP Applications Engineering, Synopsys Why You Should Attend: Join us for an insightful webinar where we delve into the latest advancements in Synopsys ARC Processor IP, designed to meet the stringent demands of real-time processing systems across industries. Gain valuable knowledge on how these innovations can optimize your designs for …

MPSoC 2025

Les Fermes de Marie Les Fermes de Marie, 163 Chem. des Épis, Megève, France

Focus Multicore and Multiprocessor SoCs (MPSoCs) started a new computing era, but brought a twofold challenge: building HW easy to use by SW designers and building SW that fully exploits HW capabilities. The main domains addressed at MPSoC Forum are related to adapting HW and SW for better cost, performances and energy efficiency of next …

IMS2025

Moscone Center 747 Howard Street, San Francisco, CA, United States

Welcome to IMS2025 It is our great pleasure to welcome you to San Francisco, California, for the 2025 IEEE Microwave Theory and Technology Society (MTT-S) International Microwave Symposium (IMS2025), taking place on 15-20 June 2025 in the City by the Bay. Co-located with the IEEE Radio Frequency Integrated Circuits Symposium (RFIC) and the Automatic Radio …

Turbomachinery Technical Conference & Exposition 2025

Renasant Convention Center Renasant Convention Center, 255 N Main St, Memphis, TN, United States

Turbo Expo 2025: AI & Turbomachinery Make your plans today to join 2,500-plus turbomachinery and propulsion engineering leaders from industry, academia, and government at Turbo Expo in Memphis, June 16-20, 2025. Attend Turbo Expo to: Find your next R&D partner or employer: Meet R&D leaders from top companies, universities, and government labs at dedicated networking events, …

The International Paris Air Show 2025

Parc des Expositions de Paris Le Bourget Parc des Expositions de Paris Le Bourget, Paris-Le Bourget Airport, Le Bourget, France

For over a century, the International Paris Air Show at Le Bourget has been the prime meeting place for the global aerospace industry. Each new edition promises to be a fascinating epic. From intrepid pioneers to cutting-edge technologies, this show is where innovation takes flight, dreams soar, and the future is shaped. Join us for …

Global Offshore Wind 2025

ExCeL London Royal Victoria Dock, 1 Western Gateway, London, United Kingdom

Last year, we celebrated the sector "coming of age" and reaching maturity and scale. This year, the conference will focus on the urgent actions needed to reach UK and global offshore wind deployment goals for 2030. Our programme "Mission: Possible", will highlight the urgency and ambition of reaching our clean energy missions over the next few …

CEA-Leti Innovation Days – LID World Summit

Grenoble, France

CEA-Leti’s flagship event Beyond algorithms, what will it take for AI to realize its full potential for businesses and society? Advanced semiconductors! Join global semiconductor industry leaders at LID World Summit 2025 for inspiring keynotes, insight-filled conferences, and exciting demos of what’s next in CEA-Leti’s lab-to-fab pipeline. You’ll come away with concrete answers to your …

SNUG Taiwan 2025

Sheraton Hsinchu Hotel Sheraton Hsinchu Hotel, No. 265號, E Section 1, Guangming 6th Rd, Zhubei City, Hsinchu County, Taiwan

Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …

Webinar: Accelerating Functional Coverage with Questa One Sim CX

Online

This webinar introduces Questa One Sim CX, an innovative coverage-driven simulation solution that revolutionizes SystemVerilog UVM verification workflows. Traditional coverage closure methods, relying on constrained-random stimulus generation and iterative manual adjustments, often prove time-consuming and resource-intensive. Questa One Sim CX addresses these challenges by automatically inferring relationships between functional coverage bins and randomized stimulus variables, …

Webinar: Eliminate Late Stage BOM Issues – Design Smarter from the Start

Online

DATE: Wednesday, June 18, 2025 TIME: 8:00am PDT | 11:00am EDT | 3:00pm GMT | 8:30pm IST Experience the future of Engineering BOM Management with OrCAD X. Our innovative Live BOM feature revolutionizes your design and supply chain processes, empowering your projects with unmatched visibility, optimization, and control. Join our webinar to learn how OrCAD X Live …

Webinar: Reimagine Semiconductor Fab Operations with the Digital Twin

Online

About This Webinar Are you ready to disrupt decades of outdated processes and lead a smarter, more sustainable future in semiconductor manufacturing? While the digital twin has long been a cornerstone of chip design, its power has yet to be fully harnessed on the fab floor. Meanwhile, manufacturers experience increased pressure from rising costs, slow …

CadenceTECHTALK: High Performance Hierarchical IR Signoff for Large SoCs and 3D-ICs

Online

Webinar Details IR signoff for advanced SoCs and 3D-ICs is a major challenge due to extremely large and complex power networks that can exceed 100 billion nodes. Designers are faced with very long runtimes and very large compute resource requirements amounting to thousands of CPUs and 100TB+ memory to run a full-chip flat. In this …

ISCA 2025

Tokyo, Japan Tokyo, Japan

The International Symposium on Computer Architecture (ISCA) is the premier forum for new ideas and experimental results in computer architecture. In 2025, the 52nd edition of ISCA will be held in Tokyo, Japan, at Waseda University during June 21 – 25, 2025. The program promises to be truly exciting, featuring not only forward-looking and novel technical paper presentations, but …

DRC 2025 | The 83rd Device Research Conference

Duke University Duke University, Durham, NC, United States

This year marks the 83rd anniversary of the DRC—the longest-running device research meeting in the world. The high-caliber technical sessions are highlighted by plenary talks and invited talks by international research pioneers and leaders behind modern electronic technology. About DRC 2025 For eight decades, the Device Research Conference (DRC) has brought together leading scientists, researchers and …

DAC 2025

Moscone West Moscone West, San Francisco, CA, United States

About DAC DAC is recognized as the global event for chips to systems. DAC offers outstanding training, education, exhibits and superb networking opportunities for designers, researchers, tool developers and vendors. The conference is sponsored by the Association for Computing Machinery (ACM) and the Institute of Electrical and Electronics Engineers (IEEE) and is supported by ACM's …

ALD/ALE 2025

International Convention Center Jeju (ICC Jeju) International Convention Center Jeju (ICC Jeju), 224 Jungmungwangwang-ro, Seogwipo-si, Jeju-do, Korea, Republic of

Overview The AVS 25th International Conference on Atomic Layer Deposition (ALD 2025) featuring the 12th International Atomic Layer Etching Workshop (ALE 2025) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and atomic layer etching.  Since 2001, the ALD conference has been held alternately in the United States, Europe …

Digital Logic 101 Workshop

Online

This workshop provides a foundational introduction to digital logic, tailored for beginners and professionals who want to understand the principles of digital design. The emphasis of this course is on: How many bits make a byte Understanding flip flops Introducing binary and binary arithmetic Combinational and sequential logic Fundamental digital design techniques Intro to logic …

Accellera at the 62nd Design Automation Conference – Luncheon Panel

Moscone West Moscone West, San Francisco, CA, United States

“Can AI Cut Costs in Electronic Design & Verification While Accelerating Time-To-Market?” Industry leaders will discuss the transformative role of AI in semiconductor design and verification. As AI rapidly evolves, its potential to reduce costs, shorten time-to-market and address impending talent shortages is becoming increasingly evident—but what are the real-world opportunities and challenges? This panel …

Siemens Calibre Seminar

Moscone West Moscone West, San Francisco, CA, United States

June 24, 2025 12:00 PM -1:00 PM Moscone West | San Francisco, CA Calibre: Supercharge your chip integration efforts Siemens is excited to host an exclusive event for our customers at the Design Automation Conference Join us at DAC for lunch and learn how our new products can supercharge your chip integration efforts: - Chip …

Webinar: Coaxial Socket Test Strategy and Methods Review and Demonstration

Online

Learn how a comprehensive testing strategy can enhance your coaxial socket design from development to production maintenance. Benefits include optimized socket design, established OQC criteria, maintenance of sockets for optimum performance and yield. DATE/TIME:   June 25, 2025 Session 1: 9AM (PT-Pacific Time) Session 2: 6PM  (PT-Pacific Time) Venue: Virtual Overview With the increase in …

Synopsys Virtual Prototyping Day 2025

Online

Join us for our 5th Annual Virtual Prototyping Day to learn how you can Shift-Left your development cycle with virtual prototypes. This popular series invites our customers and partners to speak about how they solve the challenges of highly complex SoC and multi-die designs using virtual prototypes. Presenters this year include NVIDIA, Meta, Microsoft, Raytheon, and …

TSMC 2025 China Technology Symposium 2025

Shanghai International Convention Center (SHICC) No.2727, Riverside Avenue, Pudong, Shanghai, China

Get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low power, RF, embedded …

ESD Alliance Master Class: Introduction to Chip Design and Electronic Design Automation

Online

Overview of the design of today's complex chips with Electronic Design Automation tools. June 25, 2025 | 10:00am-11:30am PT Complex semiconductor chips power today’s cell phones, cars, computers, and more. This on-line Master Class will provide non-technical people who work in and around the chip design industry a high-level overview and understanding of how these …

Webinar: Verifying Chiplet-based Systems

Online

Verifying Chiplet-based Systems (online) As the semiconductor industry increasingly embraces chiplet-based architectures, the complexity of system integration and verification has grown exponentially. Verifying these modular systems demands new approaches, tools, and collaboration across design and verification teams. This online edition of DVClub will focus on the challenges, strategies, and breakthroughs in verifying chiplet-based systems. Industry …

Webinar: Security for AI SoCs: Practical Solutions for the Challenges of Today and Tomorrow

Online

Featured Speakers: Mike Borza, Scientist and Principal Security Technologist, Synopsys Dana Neustadter, Senior Director of Product Management, Synopsys As AI systems become increasingly vital across industries, ensuring their security and integrity is more critical – and challenging – than ever. Join Synopsys in this insightful webcast to explore: Why AI security is essential The unique …

Webinar: Integrating HLS Modules into Block Designs

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Are you struggling to bridge the gap between high-level algorithm design and efficient FPGA implementation? Integrating High-Level Synthesis (HLS) into your Vivado block designs can be a game changer, but many designers face challenges in streamlining their workflows and ensuring …