Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
  • Home
  • Wiki
  • Forum
  • EDA
    • AMIQ EDA
    • Aniah
    • Breker Verification Systems
    • Bronco AI
    • Cadence
    • ChipAgents AI
    • Defacto Technologies
    • Easy-Logic
    • Empyrean
    • Infinisim
    • Keysight EDA
    • LUBIS EDA
    • Moores Lab (AI)
    • PDF Solutions
    • Perforce
    • Mach42
    • Rise Design Automation
    • Siemens EDA
    • Silvaco
    • Synopsys
    • S2C EDA
    • Undo
    • yieldHUB
  • IP
    • Agile Analog
    • Akeana
    • Alphacore
    • Alphawave Semi
    • Analog Bits
    • Andes Technology
    • Arteris
    • CAST
    • Certus Semiconductor
    • Ceva
    • Keysom
    • Mixel
    • Quadric
    • SiFive
    • Semidynamics
    • Silicon Creations
    • sureCore
    • Synopsys
    • Weebit Nano
  • Services
    • Accellera
    • Aion Silicon
    • Alchip
    • Axiomise
    • IPnest
    • Samtec
    • Semiconductor Advisors
    • Semiconductor Intelligence
    • Silicon Catalyst
    • TechInsights
    • Tuple Technologies
  • Foundries
    • Intel Foundry
    • Soitec
    • TSMC
  • Analytics
    • PDF Solutions
    • proteanTecs
    • yieldHUB
  • Security
    • Caspia Technologies
    • Cycuity
    • PQShield
    • Secure-IC
  • Books
  • Job Board
  • Podcast
  • Videos
  • LATEST NEWS:
  • CEO Interviews
  • EDA
  • IP
  • Chiplet
  • TSMC
  • Intel Foundry
  • RISC-V
  • Arm
  • AI
  • Analytics
  • Automotive
  • 3D IC
  • Security
  • Events
Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
Guests have limited access.
Join our community today!
You are currently viewing SemiWiki as a guest which gives you limited access to the site. To view blog comments and experience other SemiWiki features you must be a registered member. Registration is fast, simple, and absolutely free so please, join our community today!
177 events found.

Events Search and Views Navigation

Event Views Navigation

  • List
  • Month
  • Day
Today
  • September 2025
  • Tue 2
    ISES membership
    September 2 - September 4

    I.S.E.S. EU 2025

    Dresden, Germany Dresden, Germany

    Empowering Europe's Semiconductor Future: Innovation, Integration & Independence Renowned for orchestrating major regional summits across the globe, ranging from the U.S, the Middle East & Asia via our division, the International Semiconductor Executive Summits (I.S.E.S.). Our summits, are fully endorsed by local governments and leading companies in all areas of the semiconductor supply chain. Moreover, …

    Continue reading "I.S.E.S. EU 2025"

  • Wed 3
    General Social Post 25
    September 3 - September 4

    Cenex Expo 2025

    Our Legacy The event was established in 2008 and was formerly known as Cenex Low Carbon Vehicle Event (Cenex-LCV). It was created as a single annual gathering point for the UK innovation community. The event provided a platform to meet UK decision makers and industry experts; the perfect opportunity to showcase your business, your industry, …

    Continue reading "Cenex Expo 2025"

  • Wed 3
    Synopsys Processor Summit 2025 400x400
    September 3 @ 9:00 AM - 5:30 PM

    Synopsys Processor IP Summit 2025

    Attend this free one-day event to gain in-depth insights on processor IP solutions for handling a variety of modern SoC workloads, including artificial intelligence, automotive/functional safety, IoT and more. Why Attend? Synopsys experts, partners and users will share their knowledge about current trends and technology advancements impacting SoC computing. Understand how our latest CPU IP, based …

    Continue reading "Synopsys Processor IP Summit 2025"

  • Thu 4
    Screenshot 2025 08 21 015027
    September 4 @ 11:00 AM - 12:00 PM

    CadenceTECHTALK: Enabling RF and mmWave Design Success with Advanced Models

    Date: Thursday, September 4, 2025 Time: 10:00 BST / 11:00 CEST / 12:00 EEST / 14:30 IST In the aerospace and defense (A&D) industry, where mission-critical RF and mmWave systems must perform reliably under extreme environmental conditions, precision in simulation and modeling is non-negotiable. Traditionally, S-parameter data files have been used to characterize passive and active devices, …

    Continue reading "CadenceTECHTALK: Enabling RF and mmWave Design Success with Advanced Models"

  • Thu 4
    https cdn.evbuc.com images 1093228543 75197236605 1 original
    September 4 @ 12:30 PM - 4:30 PM

    Catalysts of Innovation: Building Enduring Semiconductor Companies

    An event for startup leaders, investors, and ecosystem partners focused on building the next generation of enduring semiconductor companies. REGISTER HERE

  • Thu 4
    hpe iscover growth event third party event 2025 semiconductor simulation 3
    September 4 @ 1:00 PM - 2:00 PM

    Webinar: From Theory to Reality: NVIDIA GPUs in Modern Simulations

    Join our webinar to see how AI-driven CFD-DEM workflows are transforming downhole plugging design. Learn to simulate interactions, automate exploration, and speed up decisions in R&D and design. Date/Time: September 4, 2025 1 PM EDT Venue: Virtual Overview Join us for an exciting series of live events dedicated to exploring the latest advancements and trends …

    Continue reading "Webinar: From Theory to Reality: NVIDIA GPUs in Modern Simulations"

  • Sun 7
    caulfield techcon 2025
    September 7 - September 10

    TECHCON 2025

    Renaissance Austin Hotel Renaissance Austin Hotel, 9721 Arboretum Blvd, Austin, TX, United States

    Shaping the Future of the Semiconductor Industry Join us for TECHCON 2025, the premier conference for innovation and excellence in the semiconductor industry! Taking place September 7-10, 2025, at the Renaissance Hotel in Austin, Texas, this exclusive, members-only event brings together industry leaders, researchers, students, and recruiters to explore cutting-edge advancements, exchange ideas, and build the future of …

    Continue reading "TECHCON 2025"

  • Mon 8
    Screenshot 2025 08 21 015920
    September 8 - September 9

    Imagination in Action AI Summit 2025

    The Next Revolution of AI: Innovation Summit Join us for a full day of bold ideas, live demos, and conversations with the people building what’s next in AI. ‍ Free to attend — registration required. Join us for an exceptional summit exploring the future of artificial intelligence — featuring a world-class lineup of thought leaders, …

    Continue reading "Imagination in Action AI Summit 2025"

  • Mon 8
    Screenshot 2025 08 27 205348
    September 8 - September 11

    ESSERC 2025 – 51st IEEE European Solid-State Electronics Research Conference

    THE CONFERENCE The first European Solid-State Device Research Conference (ESSDERC) conference was organized in 1971 in Munich, Germany, aiming to present the latest developments in physics, technology and characterization of solid-state devices and bringing together both the academic world and the industry active on silicon and compound semiconductor integrated circuits. In 1975, the initiative was …

    Continue reading "ESSERC 2025 – 51st IEEE European Solid-State Electronics Research Conference"

  • Mon 8
    Screenshot 2025 08 27 205758
    September 8 @ 8:30 AM - 6:30 PM

    The Next Revolution of AI: Innovation Summit – Sep. 8th

    Join us for a full day of bold ideas, live demos, and conversations with the people building what’s next in AI. ‍ Free to attend — registration required. Meet Our Distinguished Speakers Join us for an exceptional summit exploring the future of artificial intelligence — featuring a world-class lineup of thought leaders, innovators, and pioneers …

    Continue reading "The Next Revolution of AI: Innovation Summit – Sep. 8th"

  • Mon 8
    Screenshot 2025 08 21 020159
    September 8 @ 10:00 AM - 11:30 AM

    CadenceTECHTALK: Virtuoso ADE – MATLAB Integration and Co-Simulation with Spectre RF – MATLAB Simulink

    Speaker: Bo Chen, Application Engineer Architect 10:00am~11:00 am Virtuoso ADE MATLAB Integration and Co-Simulation with Spectre RF MATLAB Simulink 11:00am~11:15 am Q&A Description:  Spectre and MATLAB/Simulink co-simulation provides the co-simulation using the Cadence Spectre simulator and the MATLAB/Simulink. This session demonstrates how to set up and use a co-simulation link between the MATLAB/Simulink system-level simulation …

    Continue reading "CadenceTECHTALK: Virtuoso ADE – MATLAB Integration and Co-Simulation with Spectre RF – MATLAB Simulink"

  • Tue 9
    Screenshot 2025 07 18 102158
    September 9

    DVCon Taiwan 2025

    About DVCON DVCon Taiwan is the premier conference for design and verification engineers, researchers, and managers in Taiwan's semiconductor and EDA industries. Now in its third year, DVCon Taiwan 2025 continues its mission to bring together the local and international community to exchange ideas, explore the latest methodologies, and discuss emerging trends in design and …

    Continue reading "DVCon Taiwan 2025"

  • Tue 9
    Screenshot 2024 11 20 160004
    September 9 - September 11

    AI Infra Summit 2025

    The only full-stack AI & ML infrastructure event The AI Hardware & Edge AI Summit is rebranding to the AI Infra Summit to create the first comprehensive, full-stack AI Infrastructure conference, arranged in a modular way that equally enables both enterprises and technology/solution providers to benefit from domain-specific content, all while doing business on the exhibition floor. Topics covered: Hardware …

    Continue reading "AI Infra Summit 2025"

  • Tue 9
    Screenshot 2025 01 27 152602
    September 9 - September 12

    DSEI 2025

    Providing a Pivotal Platform for the Global Defence Industry. DSEI is a pivotal event for the global defence industry. As the flagship defence event for the UK, DSEI promotes the UK’s defence ethos, agenda and leadership while encouraging opportunity and cooperation with our global allies. ​ The world’s leading defence organisations and most influential stakeholders …

    Continue reading "DSEI 2025"

  • Tue 9
    Screenshot 2025 08 27 210139
    September 9 @ 9:00 AM - 6:00 PM

    The Next Revolution of AI: Impact Summit – Sep. 9th

    A premier gathering where visionary leaders, innovative startups, and pioneering researchers converge to activate the next revolution of AI across science, industry, and society. Free to attend with registration. September 9, 2025 Frances C. Arrillaga Stanford Alumni Center Stanford University Hosted by Stanford SCIEN in collaboration with: Imagination in Action, Stanford HAI, Stanford Healthcare. Meet …

    Continue reading "The Next Revolution of AI: Impact Summit – Sep. 9th"

  • Tue 9
    https cdn.evbuc.com images 1088458983 2548807985471 1 original
    September 9 @ 1:30 PM - 10:00 PM

    RISC-V Automotive Conference 2025

    About this event Taking place in Munich the same week as the IAA Mobility show and across the street from the main IAA expo, the RISC-V Automotive Conference 2025 brings together the worldwide automotive ecosystem to discuss the amazing momentum and opportunities of RISC-V in automotive. Event Program 14:00 - 14.15 Keynote: RISC-V in Automotive …

    Continue reading "RISC-V Automotive Conference 2025"

  • Wed 10
    images
    September 10 - September 12

    SEMICON Taiwan 2025

    Leading with Collaboration. Innovating with the World. SEMICON Taiwan 2025 will take place from September 10–12, 2025 at the Taipei Nangang Exhibition Center! This year’s exhibition will bring together over 1,100 leading semiconductor and technology companies, with more than 4,000 booths and an expected attendance of over 100,000 industry professionals, making it one of the …

    Continue reading "SEMICON Taiwan 2025"

  • Wed 10
    TAC 900.067 GBL WBNR BAT Automation Framework 800x450
    September 10 @ 2:00 AM - 3:00 AM

    Webinar: Benefit from standardization: Increase manufacturing efficiency with the Battery Automation Framework

    Discover how Siemens’ open, modular framework enables scalable, standardized automation for battery cell production Join us for an in-depth webinar exploring the transformative potential of Siemens' Battery Automation Framework — a cutting-edge, open, and modular toolkit designed specifically for the automation of battery production processes. This webinar will provide battery cell manufacturers with crucial insights …

    Continue reading "Webinar: Benefit from standardization: Increase manufacturing efficiency with the Battery Automation Framework"

  • Wed 10
    Screenshot 2025 08 21 020910
    September 10 @ 8:00 AM - 10:00 AM

    CadenceTECHTALK: PSpice-Based Reliability Analysis for Critical Systems

    DATE: Wednesday, September 10, 2025 TIME: 8:00am PDT | 11:00am EDT | 3:00pm GMT | 8:30pm IST In mission-critical sectors like aerospace, defense, and space systems, reliability is everything. Failures carry enormous risk, making rigorous design validation essential. This webinar explores how advanced PSpice simulation techniques using Monte Carlo and worst-case analysis can help engineers evaluate statistical …

    Continue reading "CadenceTECHTALK: PSpice-Based Reliability Analysis for Critical Systems"

  • Wed 10
    1747773436 0ed6e6c438095ecf
    September 10 @ 10:00 AM - 11:00 AM

    Webinar: Gain Test Insights You Can Trust

    September 10, 2025 | 10:00 AM PDT Duration: 1 Hour Electronic designs are more complex than ever. Added input and output variables, tighter power-efficiency demands, and stricter standards are just the start. To keep up, your basic test bench needs more power, more channels, and greater precision. Join us for an exclusive launch webinar to …

    Continue reading "Webinar: Gain Test Insights You Can Trust"

  • Wed 10
    residential storage heat pump gip 2
    September 10 @ 5:00 PM - 6:00 PM

    Webinar: Benefits of CoolGaN™ in solar and energy storage system solutions

    Join our webinar and learn how CoolGaN™  is shaping the future of efficient and reliable solar and energy storage solutions. We will cover topics such as key benefits of using GaN in solar and ESS solutions, market trends, system-level advantages, and real-world applications of GaN in renewable energy. You will gain insight into how Infineon …

    Continue reading "Webinar: Benefits of CoolGaN™ in solar and energy storage system solutions"

  • Tue 16
    images
    September 16 - September 17

    DCD Connect London 2025

    Connecting the data center ecosystem to design, build & operate sustainable data centers for the AI age Bringing together more than 4,000 senior leaders working on Europe's largest data center projects, DCD>Connect | London will drive industry collaboration, help you forge new partnerships and identify innovative solutions to your core challenges. "First class event that …

    Continue reading "DCD Connect London 2025"

  • Tue 16
    Screenshot 2025 08 21 021327
    September 16 - September 18

    COMVEC

    SAE International's COMVEC™ serves as the premier event, or perhaps, ecosystem where global leaders from the on-highway, off-highway, and defense sectors come together in a neutral setting to collaborate on solutions for daily challenges, both large and small. Get out of the office and join the worldwide commercial vehicle community in Schaumburg, Illinois, where we’ll …

    Continue reading "COMVEC"

  • Tue 16
    Screenshot 2025 08 27 210715
    September 16 - September 18

    The 25th European Microelectronics & Packaging Conference (EMPC 2025)

    EMPC 2025 is the 25th European Microelectronics & Packaging Conference, an international event for microelectronics packaging, assembly, and interconnection technologies, taking place in Grenoble, France, from September 16–18, 2025. The conference focuses on advanced packaging, new materials, power electronics, medical electronics, and sustainability, bringing together industry experts, researchers, and innovators to share knowledge and showcase cutting-edge …

    Continue reading "The 25th European Microelectronics & Packaging Conference (EMPC 2025)"

  • Tue 16
    Screenshot 2025 08 21 022200
    September 16 @ 8:30 AM - 3:30 PM

    Reimagining Custom Design with AI-Powered Virtuoso Studio

    Join us for an in-person seminar to explore the future of custom design and migration with AI-powered Virtuoso Studio. Discover how the latest innovations from Cadence are transforming analog, custom, RFIC, and MMIC design. Learn how these advancements enable faster, smarter insight analysis and more precise workflows using agentic AI.  We will discuss: Harnessing Agentic …

    Continue reading "Reimagining Custom Design with AI-Powered Virtuoso Studio"

  • Tue 16
    background
    September 16 @ 9:00 AM - 10:00 AM

    Webinar: Functional ECO Solution for Mixed-Signal ASIC Design

    Functional ECO (Engineering Change Order) continues to pose a persistent challenge for ASIC designers. To address this, Easy-Logic Technology, in collaboration with SemiWiki, is launching a webinar series focused on tackling ECO challenges across various ASIC design segments—starting with Mixed-Signal ASICs. Why Mixed-Signal ASICs? Mixed-signal ASICs are found in everyday applications such as power management, …

    Continue reading "Webinar: Functional ECO Solution for Mixed-Signal ASIC Design"

  • Tue 16
    Screenshot 2025 08 21 015027
    September 16 @ 11:00 AM - 12:00 PM

    CadenceTECHTALK: AI-Driven 3D System Analysis and Optimization for EM Antenna/RF Problems

    Date: Tuesday, September 16, 2025 Time: 10:00 BST / 11:00 CEST / 12:00 EEST / 14:30 IST In the high-stakes world of aerospace and defense (A&D), engineers face mounting challenges in designing large-scale RF/mixed-signal systems for applications such as satellite arrays, airborne radar, secure communications, and electronic warfare systems. These systems require robust electromagnetic (EM) verification tools …

    Continue reading "CadenceTECHTALK: AI-Driven 3D System Analysis and Optimization for EM Antenna/RF Problems"

  • Tue 16
    Screenshot 2025 08 21 021127
    September 16 @ 11:00 AM - 12:00 PM

    CadenceTECHTALK: Reduce SMT Parasitic Design Failures with Innovative Filter Topologies

    This webinar explores strategies for optimizing SMT filter designs, addressing spurious responses, parasitic behaviors, and PCB layout challenges using Cadence’s Microwave Office and Modelithics simulation models to ensure accurate and reliable performance. Webinar Details Join our webinar to discover challenges and optimization strategies for designing reliable and efficient filters using SMT capacitors and inductors. A …

    Continue reading "CadenceTECHTALK: Reduce SMT Parasitic Design Failures with Innovative Filter Topologies"

  • Tue 16
    September 16 @ 3:00 PM - 4:00 PM

    Webinar: Powering Data Centers for the Future

    SICAM EPMS the future proven Solution for Power Management in Data Center This webinar is designed for technical engineers tasked with defining and implementing solutions for power management, power monitoring, and power quality within data center power supply systems. Explore the world of Electrical Power Management Systems (EPMS) for data centers. Learn about standardized, modular …

    Continue reading "Webinar: Powering Data Centers for the Future"

  • Wed 17
    Screenshot 2025 06 10 141358
    September 17 - September 19

    electronica India

    Welcome to electronica India Founded in 2000, electronica India is now together with productronica India South Asia's leading trade fair for the electronics industry. electronica India takes place in conjunction with productronica India, yearly alternating between the two Indian economics hubs Delhi and Bengaluru. REGISTER HERE

  • Wed 17
    Screenshot 2025 06 12 133014
    September 17 @ 10:00 AM - 4:00 PM

    Accelerating Connectivity with the Versal Adaptive SOC Network on Chip Workshop

    Accelerating Connectivity with the Versal Adaptive SOC Network on Chip Workshop This workshop introduces the AMD Versal network on chip (NoC) to users familiar with other SoC architectures. Besides providing an overview of the major components in the Versal device, the course illustrates how the NoC is used to efficiently move data within the device. …

    Continue reading "Accelerating Connectivity with the Versal Adaptive SOC Network on Chip Workshop"

  • Thu 18
    mobile security 1
    September 18 @ 10:00 AM - 11:00 AM

    Webinar: Optimizing antenna performance with Infineon’s antenna tuners and cross switch solutions

    Online

    About the webinar: With the growing demand for devices operating across multiple frequency bands, optimizing antenna radiation efficiency is essential for delivering reliable, high-performance connectivity. Join our webinar to discover how Infineon's antenna tuning switches can help you overcome antenna design challenges in wireless communication systems. In addition, cross switch products enhance power efficiency by selecting the best-performing antenna. In this …

    Continue reading "Webinar: Optimizing antenna performance with Infineon’s antenna tuners and cross switch solutions"

  • Sun 21
    Screenshot 2025 06 10 163407
    September 21 - September 25

    SPIE Photomask Technology + Extreme Ultraviolet Lithography 2025

    Share your research and join the outstanding program for 2025 Make plans to join the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, and emerging technologies. We are still accepting post-deadline abstract submissions, which are subject to chair approval. SPIE partners with researchers, educators, and industry to advance …

    Continue reading "SPIE Photomask Technology + Extreme Ultraviolet Lithography 2025"

  • Sun 21
    logo
    September 21 - September 26

    European Microwave Week (EuMW 2025)

    The 28th edition of the European Microwave Week (EuMW 2025) will come to Utrecht to continue the annual series of highly successful microwave events that started back in 1998. EuMW 2025 comprises three co-located conferences: The European Microwave Conference (EuMC) The European Microwave Integrated Circuits Conference (EuMIC) The European Radar Conference (EuRAD) In addition, EuMW …

    Continue reading "European Microwave Week (EuMW 2025)"

  • Sun 21
    header logo 2025 blk
    September 21 - September 26

    International Test Conference – ITC 2025

    About ITC International Test Conference, the cornerstone of TestWeek™ events, is the world’s premier conference dedicated to the electronic test of devices, boards and systems-covering the complete cycle from design verification, test, diagnosis, failure analysis and back to process and design improvement. At ITC, test and design professionals can confront the challenges the industry faces, …

    Continue reading "International Test Conference – ITC 2025"

  • Mon 22
    NASCES
    September 22 - September 24

    North American Supply Chain Executive Summit (NASCES) 2025

    The North American Supply Chain Executive Summit is a premier gathering of industry leaders, supply chain executives, and experts dedicated to exploring innovative strategies, emerging trends, and best practices in the dynamic world of supply chain management. Join This Year's Conversation NASCES gathers Chief Supply Chain Officers, Chief Procurement Officers, EVPs, SVPs, VPs, and Directors of …

    Continue reading "North American Supply Chain Executive Summit (NASCES) 2025"

  • Mon 22
    PCI SIG Web Banner DevCon Korea 2025 690x94 050125
    September 22 @ 8:00 AM - 6:00 PM

    PCI-SIG Developers Conference Korea 2025

    PCI-SIG is returning to Seoul, South Korea on September 22, 2025. Members of the PCI-SIG community including systems architects, designers, engineers, and engineering managers are all invited to attend this fantastic event. Overview ​PCI-SIG Developers Conferences are free events for our 900+ member companies that develop and bring to market new products utilizing PCI Express® technology. These events provide the opportunity to learn …

    Continue reading "PCI-SIG Developers Conference Korea 2025"

  • Mon 22
    Screenshot 2025 08 21 020159
    September 22 @ 10:00 AM - 11:30 AM

    CadenceTECHTALK: Next-generation Spectre FX FastSPICE Simulator and Spectre X Integration with GPU

    Speaker: Soo Chuan Tang, Principal Application Engineer 10:00am~11:00am Next-Generation Spectre FX FastSPICE Simulator and Spectre X Integration with GPU 11:00am~11:15am Q&A Description: Leveraging a FastSPICE engine built from the ground up, Cadence Spectre FX Simulator delivers performance and accuracy improvements for full-chip and subsystem-level designs. Spectre FX simulator provides extensive circuit checking capabilities, allowing multithreading …

    Continue reading "CadenceTECHTALK: Next-generation Spectre FX FastSPICE Simulator and Spectre X Integration with GPU"

  • Tue 23
    Screenshot 2025 08 21 024316
    September 23 - September 25

    GPDIS 2025

    The Focus: The Global Product Data Interoperability Summit (GPDIS) functions as a communications hub for industry principals to foster knowledge through the exchange of ideas, solutions, and methods. It is a place to build consensus on the data, tool and process standards based on the experience of liked minded professionals. Unlike any other conference, GPDIS …

    Continue reading "GPDIS 2025"

  • Tue 23
    Screenshot 2025 07 18 103133
    September 23 @ 11:00 AM - 5:00 PM

    2025 U.S. Executive Forum

    The US Executive Forum is GSA’s most prestigious, invitation-only event, designed exclusively for industry leaders. This unique gathering unites influential executives, visionary thought leaders, and top subject matter experts for an unparalleled exchange of ideas and collaborative dialogue. Dive deep into the dynamic landscape of the global semiconductor value chain, where industry leaders will share …

    Continue reading "2025 U.S. Executive Forum"

  • Wed 24
    filters no upscale() (1)
    September 24 - September 25

    Microelectronics UK 2025

    ExCeL London Royal Victoria Dock, 1 Western Gateway, London, United Kingdom

    The Premier Event for the UK Microelectronics Industry Join thousands of your peers at the premier event uniting the entire value chains across the microelectronics, semiconductor, photonics and embedded systems industries, uniquely showcasing the UK’s world-class expertise in R&D, design innovation, and advanced manufacturing. Microelectronics UK is where innovation thrives, connections flourish, and trade accelerates. By …

    Continue reading "Microelectronics UK 2025"

  • Wed 24
    Screenshot 2025 03 04 202010
    September 24 - September 26

    The International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 2025

    Maison MINATEC Congress Center Maison MINATEC Congress Center, 3 parvis Louis Néel, Grenoble, France

    The International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) provides an international forum for the presentation of leading-edge research and development results in the area of process and device simulation. SISPAD is one of the longest-running conferences devoted to technology computer-aided design (TCAD) and advanced modeling of novel semiconductor devices and nano electronic …

    Continue reading "The International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 2025"

  • Wed 24
    Screenshot 2025 08 20 145418
    September 24 @ 8:30 AM - 6:00 PM

    2025 TSMC Open Innovation Platform Ecosystem Forum – Santa Clara

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn't just an event; it's a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Santa Clara"

  • Wed 24
    September 24 @ 10:00 AM - 11:00 AM

    Webinar: 448G PAM4: The Future of 3.2T Data Centers

    About this event Join industry experts from NTT Innovative Devices, Lumentum, and Keysight to discuss their historic demonstration of 448g / lane signaling over PAM4 — a cross-continental collaboration that’s laying the foundation for the next generation of AI data centers and high-speed Ethernet. Who should attend this event? R&D engineers at network equipment manufacturers …

    Continue reading "Webinar: 448G PAM4: The Future of 3.2T Data Centers"

  • Wed 24
    6aa32750 74d5 44ba aae6 84fdf47dd3ba
    September 24 @ 11:00 AM - 12:00 PM

    Webinar: Getting Started with the Vitis Unified IDE

    Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. When it comes to embedded software development, managing multiple tools, maintaining version control, and navigating complex workflows can feel overwhelming. The AMD Vitis™ Unified IDE simplifies the process by integrating Vitis IDE, Vitis Analyzer, and Vitis HLS into a single, …

    Continue reading "Webinar: Getting Started with the Vitis Unified IDE"

  • Wed 24
    韓國 簡體中文 1
    September 24 @ 1:00 PM - 5:00 PM

    Andes RISC-V CON Seoul

    Andes RISC-V CON Seoul REGISTER HERE

  • Thu 25
    Synopsys rtl dft webinar 400x400
    September 25 @ 9:00 AM - 10:00 AM

    Webinar: Static Verification of RTL DFT Connectivity – Getting it Right the First Time!

    Featured Speakers: Kiran Vittal, Synopsys Ayush Goyal, Synopsys As System-on-Chip (SoC) designs become increasingly complex, ensuring reliable Design-for-Test (DFT) connectivity at the RTL stage is more important than ever. This Synopsys webinar will demonstrate how static verification techniques, powered by TestMAX™ Advisor on the VC SpyGlass® platform, can help you address connectivity challenges efficiently and …

    Continue reading "Webinar: Static Verification of RTL DFT Connectivity – Getting it Right the First Time!"

  • Thu 25
    Screenshot 2025 08 21 023035
    September 25 @ 10:00 AM - 2:00 PM

    Cloud Tech Day 2025

    Join industry leaders and Cadence cloud experts at this free must-attend Cloud event of the year to explore the latest cloud EDA innovations, share ideas, and grow your professional connections. All attendees will receive a giveaway and a chance to win raffle prizes. DATE: September 25, 2025 TIME: 10:00am - 1:45pm PDT LOCATION:  Hyatt Regency Santa Clara, 5101 Great America …

    Continue reading "Cloud Tech Day 2025"

  • Sat 27
    caspa2025 18
    September 27 @ 9:00 AM - 9:00 PM

    CASPA 2025 Annual Conference and Dinner Banquet

    CASPA ACDB 2025 — A premier global summit uniting industry leaders, entrepreneurs, researchers, and investors to explore the future of Artificial Intelligence and Semiconductors Theme: 🧠 “AI Ecosystem Revolution: Advancing Tomorrow’s Intelligence Together” 📌 A full-day experience featuring: ✨ Keynote Presentations 💡 Visionary Panel Discussions 🍽️ Formal Dinner Banquet 🤝 Exclusive Networking Opportunities 🎤 Keynote Speakers: 🔹 David Wang, Senior Vice …

    Continue reading "CASPA 2025 Annual Conference and Dinner Banquet"

  • Sun 28
    1958106749094748577 0 400
    September 28 - October 2

    ECOC 2025

    The 51st European Conference on Optical Communication Welcome to ECOC 2025 in Copenhagen ECOC, the European Conference on Optical Communication, stands as Europe’s premier gathering for optical communications, holding a prominent position as one of the globe’s most significant events in this field. This conference unites pioneers and professionals from academia, research, and industry, fostering the …

    Continue reading "ECOC 2025"

  • Mon 29
    Screenshot 2025 06 24 085557
    September 29 - October 2

    Semitracks Course: Failure and Yield Analysis

    Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

    Continue reading "Semitracks Course: Failure and Yield Analysis"

  • Mon 29
    Screenshot 2025 08 27 211345
    September 29 - October 2

    IMAPS Symposium 2025

    September 29 - October 2, 2025 Co-located with Semiconductor thermal management Town & Country resort San Diego, California The 58th International Symposium on Microelectronics is organized by the International Microelectronics Assembly and Packaging Society and held in San Diego, California. IMAPS Symposium offers one of the most robust programs for microelectronics and advanced packaging technical …

    Continue reading "IMAPS Symposium 2025"

  • Tue 30
    Screenshot 2025 09 04 013215
    September 30 @ 9:00 AM - 10:00 AM

    Webinar: Hardware design of custom AI accelerators using High-Level Synthesis

    As the demand for Machine Learning increases, the need for custom hardware acceleration explodes. Hardware optimized for Performance, Power, and Area are incredibly important to stay competitive. This webinar will cover High-Level Synthesis and its benefits in quickly and accurately producing hardware accelerators. We will cover the step-by-step design and verification of the Wake Word …

    Continue reading "Webinar: Hardware design of custom AI accelerators using High-Level Synthesis"

  • Tue 30
    Screenshot 2025 08 21 015027
    September 30 @ 11:00 AM - 12:00 PM

    CadenceTECHTALK: Shift-Left Thermal Analysis with AI-Enabled Celsius Studio Platform

    Overview Join us for a series of expert-led webinars showcasing cutting-edge simulation and analysis tools—from RF/mmWave modeling to advanced thermal and electromagnetic solutions. While designed for a broad range of high-performance electronics applications, each session also highlights how these technologies can be adapted to meet the rigorous demands of aerospace and defense (A&D) systems. Topics …

    Continue reading "CadenceTECHTALK: Shift-Left Thermal Analysis with AI-Enabled Celsius Studio Platform"

  • Tue 30
    410206c2 ba3a 45c8 8fce 14af39eb8a34
    September 30 @ 6:00 PM - 9:00 PM

    Silicon Photonics Workshop

    Lighting the Future: Advancing Silicon Photonics for the AI Connectivity Era Soitec is pleased to host a private workshop event in parallel of ECOC 2025, offering a forward-looking perspective on the expanding role of photonics in enabling next-generation AI architectures. The workshop will bring together leading voices from across the value chain such as : …

    Continue reading "Silicon Photonics Workshop"

  • October 2025
  • Wed 1
    Screenshot 2025 07 18 103927
    October 1 @ 8:00 AM - 5:00 PM

    2025 WLI WISH Conference

    Join us at WISH, GSA WLI’s premier technical conference that promises to be an inspiring celebration of innovation and empowerment. With around 1,000 attendees, including industry luminaries, visionary entrepreneurs, and brilliant university students in STEM, this event will showcase the evolving landscape of technology. Attendees can look forward to inspiring keynotes from trailblazers who are …

    Continue reading "2025 WLI WISH Conference"

  • Thu 2
    Synopsys pcie 5 webinar 400x400
    October 2 @ 9:00 AM - 10:00 AM

    Webinar: Why Choose PCIe 5.0 for Power, Performance, and Bandwidth at the Edge?

    Featured Speakers: Gustavo Pimentel, Principal Product Marketing Manager, Synopsys As edge, mobile and automotive applications demand faster data processing, lower latency, and reduced power consumption, PCI Express® 5.0 has emerged as the optimal interconnect standard. Doubling the data rate of PCIe 4.0 while enabling lane reduction, PCIe 5.0 helps SoC designers achieve significant savings in …

    Continue reading "Webinar: Why Choose PCIe 5.0 for Power, Performance, and Bandwidth at the Edge?"

  • Thu 2
    Screenshot 2025 09 22 163523
    October 2 @ 12:00 PM - 1:00 PM

    Webinar: Enabling Tomorrow’s Workloads with 1.6Tbps Ethernet

    Ethernet speeds are accelerating fast and AI, Cloud, and HPC workloads are driving demand that doubles every year. With 800Gbps ports in production and 1.6Tbps Ethernet around the corner, the need for robust pre-silicon verification has never been greater. Join this webinar to see how the Veloce™ hardware-assisted verification platform extends support for 1.6Tbps Ethernet …

    Continue reading "Webinar: Enabling Tomorrow’s Workloads with 1.6Tbps Ethernet"

  • Tue 7
    Screenshot 2025 01 27 152857
    October 7 - October 9

    it-sa Expo & Congress

    it-sa Expo&Congress in Nuremberg is Europe's largest trade fair for IT security... ...and one of the most important dialogue platforms for IT security solutions. The trade fair covers the entire range of products and services in the field of cybersecurity: hardware, software, training and consulting services as well as Security as a Service. Important topics …

    Continue reading "it-sa Expo & Congress"

  • Tue 7
    Screenshot 2025 06 10 164040
    October 7 - October 9

    SEMICON West 2025

    SEMICON West 2025 makes its debut in Phoenix, October 7–9—positioning the city as a key hub for innovation and industry growth. This milestone event gathers global leaders across the microelectronics supply chain to explore transformative technologies, develop the future workforce, and drive strategic collaboration. Now more than ever, we are STRONGER TOGETHER. Programs + Events -CEO …

    Continue reading "SEMICON West 2025"

  • Tue 7
    images
    October 7 - October 9

    AutoSens Europe 2025

    We're back in the vibrant city of Barcelona, bringing together experts from across the industry From 7th-9th October 2025, we’re reuniting the AutoSens community at the Palau de Congressos in Barcelona to shape the future of ADAS and AV. With over 60 expert speakers, engaging panels, technical case studies, and exploration of 12 key themes, …

    Continue reading "AutoSens Europe 2025"

  • Tue 7
    Screenshot 2025 08 21 023714
    October 7 @ 8:30 AM - 6:30 PM

    CadenceCONNECT: CFD Innovations for the Marine Industry

    What does the future hold for marine CFD simulation? Join us at the CadenceCONNECT: CFD Innovations for Marine Applications seminar to find out! Historic software uses methods for solving that simply do not have the scalability to meet the needs of marine evolution. Cadence CFD analysis gives you an automatic and efficient computation setup. Additionally, …

    Continue reading "CadenceCONNECT: CFD Innovations for the Marine Industry"

  • Thu 9
    October 9 @ 9:00 AM - 5:30 PM

    Connected Equipment Summit

    Join us for our inaugural Connected Equipment Summit! Register here: Connected Equipment Summit October 9, 2025 | 9AM PT This summit will take place at the Hilton Phoenix Chandler and will bring together industry leaders from across the semiconductor ecosystem—including fabs, equipment OEMs, and fabless companies. This premier event will showcase PDF Solutions’ latest innovations in …

    Continue reading "Connected Equipment Summit"

  • Mon 13
    Screenshot 2025 07 18 104306
    October 13 - October 16

    2025 OCP Global Summit

    The OCP Summit is the premier event uniting the most forward-thinking minds in open IT Ecosystem development. The Summit presents a unique platform for our Community from around the globe to share their insights, foster partnerships and showcase cutting-edge advancements in open hardware and software. *Join us in San Jose October 13-16 for the 2025 OCP …

    Continue reading "2025 OCP Global Summit"

  • Tue 14
    Screenshot 2025 06 10 164716
    October 14 - October 16

    IEEE International Conference on PHYSICAL ASSURANCE and INSPECTION of ELECTRONICS (PAINE)

    ABOUT PAINE Physical inspection of electronics has grown significantly over the past decade and is becoming a major focus for the chip designers, original equipment manufacturers, and system developers. The complex long life of the electronic devices coupled with their diverse applications is making them increasingly vulnerable to various forms of threats and inspection. Large …

    Continue reading "IEEE International Conference on PHYSICAL ASSURANCE and INSPECTION of ELECTRONICS (PAINE)"

  • Tue 14
    慕尼黑 3
    October 14 @ 1:00 PM - 5:00 PM

    Andes RISC-V CON Munich

    Andes RISC-V CON Munich Smartvillage Bogenhausen REGISTER HERE

  • Wed 15
    Screenshot 2025 09 29 233835
    October 15 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the AI Market in 2026

    October 15, 2025 - 11:00 AM EST   October 16, 2025 – 10:00 AM JST/KST Discover the 5 Critical AI Market Trends Reshaping Semiconductors in 2026 From datacenter accelerators to 2nm process technology, learn what’s next for AI and the semiconductor industry. The acceleration of artificial intelligence (AI) adoption is fueling one of the most transformative …

    Continue reading "Webinar: 5 Expectations for the AI Market in 2026"

  • Wed 15
    Synopsys tcad webinar 1200x1200
    October 15 @ 5:00 PM - 6:00 PM

    Webinar: ML-Enhanced TCAD Calibration With 10x Reduction in Time to Results

    Online

    Date: Oct 15, 2025 | 5:00 PM PST Featured Speakers: Saurabh Suryavanshi, Product Manager, Synopsys Youngkwon Cho, Senior Staff Engineer, Synopsys Dipanjan Basu, Principal Engineer, Synopsys Calibration is an essential part of enabling TCAD products usages inside Semiconductor fab. Synopsys has been leading the development of ML-enhanced calibration that reduce the time to results by 10x while improve the …

    Continue reading "Webinar: ML-Enhanced TCAD Calibration With 10x Reduction in Time to Results"

  • Thu 16
    Screenshot 2025 09 04 024733
    October 16 - October 17

    2025 Embedded World China

    Conference Profile The embedded world China Conference will take place on October 16 and 17, 2025 at the Shanghai World Expo Exhibition and Convention Centre. The main topics of this year's conference are: · Embedded Technology & Applications · Vehicle Electronic / Electrical Architecture & Technologies · Embedded Vision Technologies Innovation and Development Conference using Edge AI Check …

    Continue reading "2025 Embedded World China"

  • Sat 18
    Screenshot 2025 06 10 165011
    October 18 - October 22

    MICRO 2025 – 58th IEEE/ACM International Symposium on Microarchitecture

    The IEEE/ACM International Symposium on Microarchitecture® is the premier forum for presenting, discussing, and debating innovative microarchitecture ideas and techniques for advanced computing and communication systems. This symposium brings together researchers in fields related to microarchitecture, compilers, chips, and systems for technical exchange on traditional microarchitecture topics and emerging research areas. The MICRO community has enjoyed a …

    Continue reading "MICRO 2025 – 58th IEEE/ACM International Symposium on Microarchitecture"

  • Mon 20
    Screenshot 2025 08 27 212135
    October 20 - October 23

    SPIE Optifab 2025

    Join colleagues at the largest optical manufacturing conference and exhibition in North America SPIE Optifab is the premier event to meet with top companies and learn about the latest optical fabrication technologies. Organized jointly by SPIE and APOMA, Optifab is the largest optical manufacturing conference and exhibition held in North America. In 2025 the meeting …

    Continue reading "SPIE Optifab 2025"

  • Tue 21
    Semiconductor Traceability
    October 21 @ 8:30 AM - 5:00 PM

    Semiconductor Traceability and Provenance Workshop

    NIST Gaithersburg NIST Gaithersburg, 100 Bureau Drive, Gaithersburg, MD, United States

    The National Institute of Standards and Technology (NIST) will host the Semiconductor Traceability and Provenance Workshop on Tuesday, October 21, 2025, at its campus in Gaithersburg, Maryland. This in-person, one-day event builds on the momentum from the April 2025 workshop on Trust and Provenance in the Semiconductor Supply Chain, which identified traceability as the top priority …

    Continue reading "Semiconductor Traceability and Provenance Workshop"

  • Wed 22
    Screenshot 2025 07 18 104627
    October 22 - October 23

    RISC-V Summit North America 2025

    RISC-V is defining the future of open computing by providing unprecedented freedom to innovate. More than 13 billion RISC-V cores have shipped, powering new innovations in AI/ML, wireless, automotive, data center, space, IoT, embedded and more. Each day, thousands of engineers around the world collaborate and contribute to advance RISC-V. The RISC-V community shares the …

    Continue reading "RISC-V Summit North America 2025"

  • Wed 22
    Screenshot 2025 08 18 160645
    October 22 - October 23

    CadenceCONNECT: Photonics and Quantum Technologies

    Event Summary The year 2025 is both a turning point for integrated photonics as a key enabler for AI, physical and infrastructure interconnect, and the International Year of Quantum (IYQ). In our event, we are going to explore the enabling technologies shared by these two exciting fields. While these systems need to exist in a …

    Continue reading "CadenceCONNECT: Photonics and Quantum Technologies"

  • Wed 22
    Screenshot 2025 08 27 201435
    October 22 @ 9:00 AM - 10:00 AM

    Webinar: Pushing more power with CoolGaN™: design, layout and thermal management

    Webinar date: 22.10.2025 | 9 AM CEST | 5 PM CEST Join our webinar and learn about the fundamentals of Gallium Nitride (GaN) technology and its applications in power systems. You will gain a deep understanding of gate drive circuit design, layout steps, and thermal management guidelines for GaN transistors and applications in high-switching frequency …

    Continue reading "Webinar: Pushing more power with CoolGaN™: design, layout and thermal management"

  • Wed 22
    Screenshot 2025 06 12 133648
    October 22 @ 10:00 AM - 3:00 PM

    Achieving Timing Closure in FPGA Designs Workshop

    Achieving Timing Closure in FPGA Designs Workshop Do you find it challenging to close timing in your FPGA design? This workshop will guide you through leveraging AMD Vivado’s tools, optimizing your design, and applying best practices for static timing analysis to achieve reliable timing closure. Gain hands-on experience with timing closure techniques and learn strategies to improve …

    Continue reading "Achieving Timing Closure in FPGA Designs Workshop"

  • Wed 22
    Screenshot 2025 09 29 234047
    October 22 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Power Market in 2026

    October 22, 2025 - 11:00 AM EST    October 23, 2025 – 10:00 AM JST/KST Discover the 5 Critical Power Market Trends Reshaping Semiconductors in 2026 Wide-bandgap disruption, PMIC innovation, and datacenter power demand, what’s next for power semiconductors. The rise of AI datacenters is driving unprecedented demand for power, creating both massive opportunities and major …

    Continue reading "Webinar: 5 Expectations for the Power Market in 2026"

  • Thu 23
    updated chips act web banner text 3000 x 1000 px 2048x683
    October 23

    EU Chips Act 2.0 Webinar

    Europe's Role & Chips Act 2.0 Priorities The European Union is currently developing a new version of the Chips Act program. The European Chips Act 2.0 represents a strategic initiative to strengthen the European semiconductor ecosystem. Building on the original Chips Act adopted in 2023, this updated framework aims to address emerging technologies such as …

    Continue reading "EU Chips Act 2.0 Webinar"

  • Thu 23
    Synopsys IP Designs Edge AI 400x400
    October 23 @ 10:00 AM - 11:00 AM

    Webinar: IP Design Considerations for Real-Time Edge AI Systems

    *Work Email Required* Edge AI systems increasingly require on-chip integration of large-capacity memory, compute engines, and inference-optimized accelerators—all within strict power, latency, and footprint constraints. This webinar provides a an overview of IP architecture and integration methodologies that support real-time AI workloads at the edge. We’ll cover: • Memory and compute efficiency: Techniques for optimizing …

    Continue reading "Webinar: IP Design Considerations for Real-Time Edge AI Systems"

  • Fri 24
    Screenshot 2025 08 20 145418
    October 24

    2025 TSMC Open Innovation Platform Ecosystem Forum – Tokyo

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn't just an event; it's a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Tokyo"

  • Sun 26
    Screenshot 2025 07 14 032955
    October 26 - October 30

    ICCAD 2025

    The 2025 International Conference on Computer-Aided Design Call For Papers Jointly sponsored by IEEE and ACM, IEEE ICCAD is the premier forum to explore new challenges, present leading-edge innovative solutions, and identify emerging technologies in the electronic design automation research areas. IEEE ICCAD covers the full range of CAD topics – from device and circuit …

    Continue reading "ICCAD 2025"

  • Tue 28
    Screenshot 2025 09 04 013215
    October 28 @ 9:00 AM - 10:00 AM

    Webinar: Rapid Design Space Exploration of AI functions on Lattice FPGAs using Catapult High-Level Synthesis

    The increasing demand for accelerated computing solutions calls for an agile hardware design methodology to be able to keep up with fast evolving landscape of algorithms. Traditional hardware design methodology has long development cycles involving defining architecture, doing microarchitecture development using RTL, and performing verification. An agile workflow requires being able to iterate through the …

    Continue reading "Webinar: Rapid Design Space Exploration of AI functions on Lattice FPGAs using Catapult High-Level Synthesis"

  • Wed 29
    Screenshot 2025 07 18 104937
    October 29 - October 30

    CadenceCONNECT: Jasper User Group 2025

    CadenceCONNECT: Jasper User Group 2025 is October 29 and 30 in San Jose, CA. This interactive, in-depth technical conference connects designers, verification engineers, and engineering managers from around the world to share the latest design and verification practices based on Cadence’s Jasper formal verification technologies and methodologies. The Jasper User Group Conference is the premier …

    Continue reading "CadenceCONNECT: Jasper User Group 2025"

  • Wed 29
    Screenshot 2025 09 23 153345
    October 29 @ 9:00 AM - 10:00 AM

    Webinar: Accelerating RTL-to-GDS digital implementation with generative and agentic AI: powered by Aprisa AI & the Siemens EDA AI System

    As digital chip design complexity grows, engineering teams face increasing pressure to meet aggressive PPA targets on tight schedules. To overcome this challenge, the EDA industry requires a revolutionary shift towards AI. Siemens EDA is leading this transformation by implementing a comprehensive strategy that combines machine learning, reinforcement learning, generative, and agentic AI across the …

    Continue reading "Webinar: Accelerating RTL-to-GDS digital implementation with generative and agentic AI: powered by Aprisa AI & the Siemens EDA AI System"

  • Wed 29
    6aa32750 74d5 44ba aae6 84fdf47dd3ba
    October 29 @ 11:00 AM - 12:00 PM

    Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration

    Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Unlock the potential of the AMD Kria SOM and discover Time-Sensitive Networking (TSN) benefits for your applications. In this session, you’ll explore the TSN-ROS application and its role in enhancing communications within a TSN framework. Join our hands-on demonstration to …

    Continue reading "Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration"

  • Wed 29
    Screenshot 2025 09 29 234253
    October 29 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Memory Market in 2026

    October 29, 2025 - 11:00 AM EST    October 30, 2025 – 10:00 AM JST/KST Discover the 5 Critical Memory Market Trends Reshaping Semiconductors in 2026 AI workloads, HBM4 adoption, and 3D NAND scaling—what’s next for the memory industry in 2026. The memory semiconductor industry is entering a critical inflection point. Explosive AI workloads are pushing …

    Continue reading "Webinar: 5 Expectations for the Memory Market in 2026"

  • November 2025
  • Mon 3
    Screenshot 2025 06 24 085557
    November 3 - November 6

    Semitracks Course: Wafer Fab Processing

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

    Continue reading "Semitracks Course: Wafer Fab Processing"

  • Mon 3
    Virtual Training AdvancedPackaging (Asia) September 2025 800x800 Shopify Semiconductor Ecosystem Overview July v1.jpg
    November 3 @ 8:30 AM - 5:00 PM

    Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training

    Strengthen your knowledge and skills by learning about new packaging technologies in Fan-in, Fan-out WLP, Embedded packaging technology, System on Chip (SOC), System in Package (SiP), 3D IC, WLP, TSV, etc. Packaging knowledge is a must for professionals in the semiconductor industry. The first part of this course dives deep into advanced packaging. Part 2 …

    Continue reading "Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training"

  • Tue 4
    Screenshot 2025 10 22 032256
    November 4 - November 5

    PIC Summit Europe 2025

    Scaling Together in a Dynamic World The photonic chip industry is reaching new heights - but scaling production, applications, and investments requires a united effort. As demand surges for high-speed, energy-efficient solutions, the question isn’t if photonic chips will revolutionise industries, but how fast we can make it happen. At PIC Summit Europe 2025, we …

    Continue reading "PIC Summit Europe 2025"

  • Tue 4
    logoFull
    November 4 - November 6

    Embedded World North America 2025

    Connect to the embedded community With its 20 years of history and experience in Europe, embedded world is the most professional and largest exhibition in its field, and has accumulated substantial industry and customer data and media resources. It gathers the leading enterprises of the global embedded industry, with its application range covering automotive electronics, …

    Continue reading "Embedded World North America 2025"

  • Tue 4
    1759132416 2c664ecb7afb35b8
    November 4 @ 10:00 AM - 11:00 AM

    Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools

    November 4, 2025 | 10:00 AM PST This webinar will present advanced simulation tools and techniques for the design of GaN power amplifiers with increased assurance of stable operation that goes beyond simple k-factor analysis. The methods will be demonstrated using Qorvo GaN technology and related non-linear models that have been modified to facilitate advanced …

    Continue reading "Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools"

  • Wed 5
    images
    November 5 - November 7

    Brooklyn 6G Summit 2025

    What is the Brooklyn 6G Summit The Brooklyn 6G Summit (B6GS) is a premier event for the global communications industry, bringing together leading voices from technology, business, academia and regulation to shape the future of wireless. Jointly organized by Nokia and the NYU WIRELESS research center at the NYU Tandon School of Engineering, the Summit …

    Continue reading "Brooklyn 6G Summit 2025"

  • Wed 5
    Screenshot 2025 10 17 021248
    November 5 @ 8:00 AM - 8:30 AM

    Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus

    Details Imaging radar has rapidly evolved into a critical technology for autonomous systems, with patent activity accelerating significantly over the past decade. From 2015 to 2024, global imaging radar patent publications increased more than tenfold, fueled by the rise of autonomous driving, 4D radar integration, AI-based perception, and sensor fusion. This surge has created an …

    Continue reading "Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus"

  • Wed 5
    Screenshot 2025 10 29 071423
    November 5 @ 8:00 AM - 9:00 AM

    Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs

    High-Level Synthesis (HLS) is design flow in which design intent is described at a higher level of abstraction such as SystemC/C++/Matlab/etc. HLS tools are expected to synthesize this code to RTL which can be input to the traditional RTL downstream flow (RTL/GDS). Formal check tools are difficult to be analyzed on generated RTL (as the …

    Continue reading "Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs"

  • Wed 5
    November 5 @ 9:00 AM - 2:00 PM

    Open Lab Day: Power Without Compromise

    Power testing is more complex than ever. From subtle low-power signals to multi-kilowatt loads, bulky setups and limited software often slow you down and take up valuable lab space. At Open Lab Day: Power Without Compromise, you’ll experience what’s next in power testing: faster validation, greater accuracy, and more capability in less space. Keysight’s newest power …

    Continue reading "Open Lab Day: Power Without Compromise"

  • Wed 5
    1759427613 7a1e7d60a0e237c6
    November 5 @ 10:00 AM - 11:00 AM

    Webinar: Insights on Spectrum for 6G

    About this event Join Roger Nichols, 6G Program Manager, for an insightful discussion on the 6G spectrum. He will cover the current status of 6G technologies, standards, and policies for the next generation of wireless, including developments from 2024 after the World Radio Conference. Who should attend this event? This webinar is for 6G researchers …

    Continue reading "Webinar: Insights on Spectrum for 6G"

  • Wed 5
    Screenshot 2025 10 22 035247
    November 5 @ 10:00 AM - 12:00 PM

    Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class

    Online

    Join us for this engaging Master Class with Benyamin Davaji, PhD, Assistant Professor of Electrical and Computer Engineering at Northeastern University and Peter Doerschuk, Professor of Electrical and Computer Engineering and Biomedical Engineering at Cornell University, as they explore the role of digital twin models in advancing semiconductor manufacturing. The masterclass will highlight how data-guided …

    Continue reading "Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class"

  • Wed 5
    Screenshot 2025 09 29 234430
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Sensor Market in 2026

    November 5, 2025 - 11:00 AM EST    November 6, 2025 – 10:00 AM JST/KST Discover the 5 Critical Sensor Market Trends Reshaping Semiconductors in 2026 From 8K smartphones to AI at the edge—explore the next generation of image sensor innovation. The image sensor industry is shifting from traditional pixel scaling to functionality-driven differentiation, unlocking new …

    Continue reading "Webinar: 5 Expectations for the Sensor Market in 2026"

  • Wed 5
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop

    Join our webinar to learn how Ansys optiSLang and Thermal Desktop tackle thermal and fluid challenges, optimize design, and enhance product performance with a vapor chamber use case. Date & Time: November 5, 2025 11AM EDT Venue: Virtual Overview In this webinar, discover how Ansys optiSLang and Ansys Thermal Desktop empower engineers to tackle complex …

    Continue reading "Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop"

  • Wed 5
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: Accelerating the Manufacturing Process Using Simulation

    Join our webinar to explore how Ansys LS-DYNA enhances manufacturing simulations in sheet metal forming, welding, forging, and more, improving accuracy and workflow efficiency. Date/Time: November 5, 2025 11 AM IST Venue: Virtual Overview The demand for manufacturing simulations has been steadily increasing across industries, driven by the need for enhanced product quality, reliability, and cost …

    Continue reading "Webinar: Accelerating the Manufacturing Process Using Simulation"

  • Wed 5
    https cdn.evbuc.com images 1146954313 75197236605 1 original
    November 5 @ 5:00 PM - 7:30 PM

    Silicon Catalyst 2025 Semi Industry Forum

    Computer History Museum Computer History Museum, 1401 N Shoreline Blvd, Mountain View, CA, United States

    On-the-Road to a $1 Trillion Industry Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. About this event Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. Demand is accelerating, driven by AI, automotive, data centers, and IoT - …

    Continue reading "Silicon Catalyst 2025 Semi Industry Forum"

  • Thu 6
    Screenshot 2025 07 18 105742
    November 6 - November 7

    Electronic Packaging Days 2025

    On 6 and 7 November, Fraunhofer IZM is inviting customers and partners from industry to Berlin for its “Electronic Packaging Days”. The event is designed to facilitate direct exchange between IZM researchers and companies. The aim is to present current research work and technological developments in the field of microelectronic packaging and heterogeneous system integration …

    Continue reading "Electronic Packaging Days 2025"

  • Thu 6
    image002[88]
    November 6 @ 5:30 PM - 9:30 PM

    Archetype: Conversations with Silicon Edition

    Archetype, a global tech communications consultancy, is co-hosting, with GV (fka Google Ventures) an exec, media and comms networking event in San Francisco on Thursday, November 6, from 5:30 to 9:30 p.m. at GV’s office in the Ferry Building. We’re bringing together well-respected observers and founders in the semiconductor and computing industries for an evening …

    Continue reading "Archetype: Conversations with Silicon Edition"

  • Thu 6
    Screenshot 2025 10 22 032457
    November 6 @ 6:30 PM - 9:00 PM

    Phil Kaufman Award Ceremony and Banquet

    The Phil Kaufman Award honors individuals who have had a demonstrable impact on the field of electronic system design through technology innovations, education/mentoring, or business or industry leadership. The award was established as a tribute to Phil Kaufman, the late industry pioneer who turned innovative technologies into commercial businesses that have benefited electronic designers. REGISTER HERE

  • Fri 7
    SXVN2025 EventTile 1 3.jpg
    November 7 - November 8

    SEMIEXPO Vietnam 2025

    Hanoi, Vietnam Hanoi, Viet Nam

    As the global semiconductor landscape continues to evolve, Vietnam is positioning itself for a promising future in the semiconductor industry. SEMIEXPO Vietnam will be a pivotal event, exploring opportunities for businesses across the semiconductor value chain, from assembly and testing, to fabless/design. This premier event will highlight how local players can elevate Vietnam’s position in …

    Continue reading "SEMIEXPO Vietnam 2025"

  • Mon 10
    SILVACO ASU Workshop 400x400
    November 10 @ 9:00 AM - 5:00 PM

    Webinar: ASU-Silvaco Device TCAD Workshop: From Fundamentals to Applications

    Join us at ASU’s Old Main Building on November 10, 2025 for the ASU-Silvaco Device TCAD Workshop: From Fundamentals to Applications. This on-site-only workshop is a fast-paced, one-day program led by Prof. Dragica Vasileska, Ph.D and Prof. Stephen M. Goodnick, Ph.D. Spend the morning grounding yourself in semiconductor physics and transport, then transition in the …

    Continue reading "Webinar: ASU-Silvaco Device TCAD Workshop: From Fundamentals to Applications"

  • Tue 11
    Screenshot 2025 06 10 150029
    November 11

    SemIsrael Expo 2025

    Connecting the Dots: Empowering the Semiconductor Community SemIsrael Expo 2025 is the premier professional semiconductor event in Israel. The event brings together hundreds of Israeli semiconductor professionals from all fields and aspects of the semiconductor industry. The Expo will host some 750 semiconductor professionals from all the Israeli semiconductor community; local fabless & startups, local …

    Continue reading "SemIsrael Expo 2025"

  • Tue 11
    Screenshot 2025 10 15 180018
    November 11 @ 9:00 AM - 10:30 AM

    Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights

    Traditional RTL low power design techniques such as sequential clock gating are widely deployed across the industry. Yet, even after multiple RTL revisions, residual power inefficiencies often remain undetected until silicon, resulting in missed optimization opportunities. This seminar presents a refined approach to conventional methodologies: a reporting and opportunity identification layer that sits atop clock …

    Continue reading "Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights"

  • Wed 12
    Screenshot 2025 10 29 071729
    November 12 @ 8:00 AM - 9:00 AM

    Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim

    Join us for this essential webinar where we'll explore how  Questa One Sim empowers VHDL designers to dramatically enhance their debugging productivity. We'll move beyond basic simulation viewing and dive into advanced features designed to pinpoint issues faster, understand design behavior more intuitively, and streamline your entire VHDL RTL debug workflow. This webinar is Part 1 of …

    Continue reading "Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim"

  • Wed 12
    1746045069 103c98f2493e62fc
    November 12 @ 10:00 AM - 11:00 AM

    Webinar: AI/ML Algorithm Design and Testing Toward 6G

    AI and machine learning (AI/ML) are reshaping wireless communications, promising faster, more efficient, and more intelligent networks. But bringing these algorithms into real-world environments isn’t simple — validation and testing remain major hurdles. In this webinar, industry expert Abhinav Mahadevan shares how to bridge that gap. You’ll learn: • How AI/ML can unlock smarter signal …

    Continue reading "Webinar: AI/ML Algorithm Design and Testing Toward 6G"

  • Wed 12
    Screenshot 2025 09 29 234617
    November 12 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Compute Market in 2026

    November 12, 2025 - 11:00 AM EST    November 13, 2025 – 10:00 AM JST/KST Discover the 5 Critical Compute Market Trends Reshaping Semiconductors in 2026 Datacenter accelerators, advanced nodes, and geopolitical tensions—what’s next for compute semiconductors. The compute semiconductor market is entering a transformative period. While datacenter accelerators drive rapid growth, traditional PC and mobile …

    Continue reading "Webinar: 5 Expectations for the Compute Market in 2026"

  • Thu 13
    1759871032 edc349cc38a32997
    November 13 @ 8:30 AM - 3:30 PM

    Aerospace and Defense Symposium 2025 — El Segundo

    Join Chris Johnston, Keysight’s Director of Radar and EW, alongside other Keysight experts, at this year’s Aerospace and Defense Symposium in El Segundo. Gain practical insights, see live demonstrations, and take part in engaging discussions designed to help you stay ahead in our rapidly evolving industry. You’ll walk away ready to accelerate mission-critical product development across …

    Continue reading "Aerospace and Defense Symposium 2025 — El Segundo"

  • Thu 13
    November 13 @ 11:00 AM - 12:00 PM

    Webinar: Accelerating Product Development with Computational Materials Engineering

    Discover how companies are leveraging ICME to enhance outcomes in consumer goods, fluids manufacturing, optics, and polymer development. Learn how your R&D team can accelerate the process from materials design to product design and manufacturing. DATE/TIME: November 13, 2025 11 AM EST / 5 PM CET / 9:30 PM IST Venue: Virtual Overview Ansys and …

    Continue reading "Webinar: Accelerating Product Development with Computational Materials Engineering"

  • Sun 16
    ISTFA 2025 Theme Hero
    November 16 - November 20

    ISTFA 2025

    Pasadena Convention Center Pasadena Convention Center, 300 E Green St, Pasadena, CA, United States

    Plan today to attend and participate at ISTFA 2025! Join us for the 51st International Symposium for Testing and Failure Analysis (ISTFA) is set to take place in Pasadena, California, …

    Continue reading "ISTFA 2025"

  • Sun 16
    Screenshot 2025 07 18 110118
    November 16 - November 21

    SC25

    America’s Center America’s Center, 701 Convention Plaza, St. Louis, MO, United States

    The International Conference for High Performance Computing, Networking, Storage, and Analysis HPC Ignites. St. Louis is the place to be this fall as the high performance computing community convenes for …

    Continue reading "SC25"

  • Mon 17
    Screenshot 2025 06 10 165805
    November 17 - November 21

    Hardwear.io Security Trainings and Conference Netherlands 2025

    Amsterdam Marriott Hotel Stadhouderskade 12, Amsterdam, Netherlands

    The Netherlands has been the home for Hardwear.io since 2015. We are very excited to host the industry from automotive, healthcare, semiconductor, IoT, industrial control systems and Govt/Defences Institutes to …

    Continue reading "Hardwear.io Security Trainings and Conference Netherlands 2025"

  • Tue 18
    Screenshot 2025 08 20 145418
    November 18

    2025 TSMC Open Innovation Platform Ecosystem Forum – Taiwan

    Sheraton Hsinchu Hotel Sheraton Hsinchu Hotel, No. 265號, E Section 1, Guangming 6th Rd, Zhubei City, Hsinchu County, Taiwan

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Taiwan"

  • Tue 18
    Screenshot 2025 08 21 025131
    November 18 - November 20

    MASTER CLASS: Component-based transfer path analysis and virtual prototyping

    Leuven, Belgium Leuven, Belgium

    The Component-based TPA and Virtual Prototyping Master Class is a 3-day live training event that brings together the NVH community at the NVH facility in Leuven, Belgium. This immersive program features theoretical …

    Continue reading "MASTER CLASS: Component-based transfer path analysis and virtual prototyping"

  • Tue 18
    Screenshot 2025 07 18 110413
    November 18 - November 21

    SEMICON Europa 2025

    SEMICON Europa 2025 is co-located with productronica and will take place in November 18-21, 2025 in Munich, Germany. This year’s theme Global Collaborations for European Economic Resilience expresses that global collaborations are not just beneficial for Europe's resilience, they are essential. In today's interconnected world, Europe cannot afford to operate in isolation. By working closely with other nations, …

    Continue reading "SEMICON Europa 2025"

  • Tue 18
    Vijay Viswanathan
    November 18 @ 9:00 AM - 10:00 AM

    Webinar: Power Supply ICs for efficient computing & networking

    The importance of second-stage optimization in power delivery for data centers and high-performance computing cannot be overstated. With the potential to increase energy efficiency from an average of 85% to approximately 90%, Infineon is at the forefront of developing innovative solutions to meet the rapidly growing demands of advanced computing. In this session we will …

    Continue reading "Webinar: Power Supply ICs for efficient computing & networking"

  • Tue 18
    multistream webinar banner square
    November 18 @ 9:00 AM - 10:00 AM

    Webinar: How PCIe Multistream Architecture is enabling AI Connectivity at 64 GT/s and 128 GT/s

    Featured Speakers: Diwakar Kumaraswamy, Sr. Staff Technical Product Manager, Synopsys AI and HPC workloads push fabric speeds to deliver higher parallelism and utilization at extreme data rates. To support these higher rates, the controller architecture needs to be completely redefined resulting in the new PCIe controller Multistream architecture where multiple TLP streams to be serialized …

    Continue reading "Webinar: How PCIe Multistream Architecture is enabling AI Connectivity at 64 GT/s and 128 GT/s"

  • Tue 18
    November 18 @ 9:00 AM - 3:30 PM

    Advanced Measurements Seminar – Chandler

    Join Suren Singh, Application Engineer for Emerging Technologies, and fellow Keysight experts for a hands-on seminar that will help you do what you couldn’t before in RF measurement. Whether you're looking to advance your expertise or stay ahead of emerging technologies, this hands-on seminar will equip you with the practical tools and skills you need …

    Continue reading "Advanced Measurements Seminar – Chandler"

  • Wed 19
    Screenshot 2025 06 10 170130
    November 19 - November 20

    Sensing (R)evolution: Sustaining Europe’s Leadership

    Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe's leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in Sensing (R)evolution: …

    Continue reading "Sensing (R)evolution: Sustaining Europe’s Leadership"

  • Wed 19
    Screenshot 2025 08 27 212625
    November 19 - November 20

    MEMS & Imaging Sensors Summit 2025

    Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe’s leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in to Sensing …

    Continue reading "MEMS & Imaging Sensors Summit 2025"

  • Wed 19
    1750872606 61b607ba1c5cbc49
    November 19 @ 10:00 AM - 11:00 AM

    Webinar: Advancing NTN: Challenges and Opportunities in 6G

    NTNs extend coverage everywhere; 6G delivers the tools to optimize, scale, and seamlessly integrate them. Join our expert roundtable for a high-impact discussion on today’s NTN landscape. Discover how 6G will accelerate their evolution. Learn about the engineering challenges and opportunities shaping the future. You’ll walk away with insights into real-world deployments, emerging use cases, …

    Continue reading "Webinar: Advancing NTN: Challenges and Opportunities in 6G"

  • Wed 19
    Screenshot 2025 06 12 134145
    November 19 @ 10:00 AM - 4:00 PM

    From Theory to Practice: Applying Timing Constraints Workshop

    From Theory to Practice: Applying Timing Constraints Workshop Do you struggle to identify which constraints are needed for a design or how to properly input them? This workshop will cover how to use features in Vivado, clock domain crossing strategies, and how to get the most out of static timing analysis for Versal devices. This …

    Continue reading "From Theory to Practice: Applying Timing Constraints Workshop"

  • Wed 19
    Screenshot 2025 09 29 234831
    November 19 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Connectivity Market in 2026

    Discover the 5 Critical Connectivity Market Trends Reshaping Semiconductors in 2026 5G, ambient computing, and RF innovation—what’s next for connectivity semiconductors. The connectivity semiconductor industry is evolving rapidly as 5G matures, geopolitical shifts influence supply chains, and ambient/always-on computing paradigms drive next-generation RF architectures. From smartphones to IoT, wearables, and satellite networks, the connectivity landscape …

    Continue reading "Webinar: 5 Expectations for the Connectivity Market in 2026"

  • Thu 20
    Screenshot 2025 10 22 041230
    November 20 - November 21

    2025 ICCAD

    About ICCAD-Expo In the development of China's integrated circuit design industry, the China Integrated Circuit Design Industry Exhibition (ICCAD-Expo) has consistently played a vital role in promoting industrial clustering, connecting industry resources, and identifying industry trends. Since its inception in 1994, ICCAD-Expo  has been successfully held for 30 sessions in Shenzhen, Hangzhou, Chengdu, Wuhan, Shanghai, Zhuhai, Dalian, …

    Continue reading "2025 ICCAD"

  • Thu 20
    Paul Yeaman
    November 20 @ 9:00 AM - 10:00 AM

    Webinar: Powering the heart of AI – 48 V to core optimization

    This webinar provides an in-depth discussion about the challenges posed by AI's increasing power requirements to voltage regulation, more specifically physical limitations such as power distribution losses, thermal and cooling limitations, and power density issues. It then explores innovative technologies designed to address these challenges, for example chip-embedded modules, thermally efficient inductors, and novel power …

    Continue reading "Webinar: Powering the heart of AI – 48 V to core optimization"

  • Thu 20
    1761086745 b35ee35dd6d899de
    November 20 @ 10:00 AM - 10:30 AM

    Webinar: Wireless Coexistence Testing for Medical Devices

    Wireless connectivity is the backbone of modern medical technology, but it is also one of its greatest vulnerabilities. In connected hospitals and operating rooms, dozens of devices share a limited spectrum. Even minor interference can disrupt data, delay critical responses, and threaten patient safety. Regulators now require proof that devices coexist reliably in complex RF …

    Continue reading "Webinar: Wireless Coexistence Testing for Medical Devices"

  • Thu 20
    1756482267 fb4ab9beb955a1e7
    November 20 @ 10:00 AM - 11:00 AM

    Webinar: Sensing the 6G Future: Insight from the Wireless Channel

    6G is transforming wireless networks from a channel for communication into a powerful tool for sensing the world around us. Beyond connecting people and devices, 6G opens the door to applications such as gesture recognition, object detection, and location awareness — capabilities that demand new approaches to modeling, simulation, and testing. In this webinar, our …

    Continue reading "Webinar: Sensing the 6G Future: Insight from the Wireless Channel"

  • Thu 20
    Screenshot 2025 09 29 235112
    November 20 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Automotive Market in 2026

    November 20, 2025 - 11:00 AM EST    November 21, 2025 – 10:00 AM JST/KST Discover the 5 Critical Automotive Market Trends Reshaping Semiconductors in 2026 AI, vehicle architectures, and trade impacts—what’s next for automotive semiconductors. The automotive semiconductor industry is navigating a complex landscape of trade tensions, evolving architectures, and AI deployment. Supply chain uncertainties …

    Continue reading "Webinar: 5 Expectations for the Automotive Market in 2026"

  • Thu 20
    sia logo
    November 20 @ 5:00 PM - 8:30 PM

    2025 SIA Awards Dinner

    Date: Nov. 20, 2025 Time: SIA Reception at 5:00 pm Dinner, Awards Presentations, & Keynote Remarks at 6:30 pm After-Dinner Reception at 8:30 pm Location: Signia by Hilton San Jose 170 S Market St San Jose, Calif.95113 PRIORITY SPONSORSHIP PERIOD NOW OPEN! The 2025 SIA Awards Dinner Priority Sponsorship Period is now open! Only Gold …

    Continue reading "2025 SIA Awards Dinner"

  • Tue 25
    Screenshot 2025 08 20 145418
    November 25

    2025 TSMC Open Innovation Platform Ecosystem Forum – Amsterdam

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Amsterdam"

  • Tue 25
    6aa32750 74d5 44ba aae6 84fdf47dd3ba
    November 25 @ 11:00 AM - 12:00 PM

    Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques

    Description Clock domain crossings (CDCs) are a critical aspect of FPGA and embedded system design, and handling them correctly is essential for reliable operation. In this one-hour webinar, we’ll break down CDC fundamentals, explore best practices for managing single-bit and bus CDCs, and demonstrate how to leverage Xilinx Parameterized Macros (XPM) for seamless synchronization. Join …

    Continue reading "Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques"

  • December 2025
  • Mon 1
    Screenshot 2025 08 21 020159
    December 1 @ 10:00 AM - 11:30 AM

    CadenceTECHTALK: iPegasus Verification System for Virtuoso Studio

    Speaker: Hong-Cheang Quek, AE Director 10:00am~11:00am iPegasus Verification System for Virtuoso Studio 11:00am~11:15am Q&A Description: Today's complex SoC designs significantly increase layout creation and verification time, especially at advanced nodes. To meet overall demand for faster design cycle turnaround time, bridge a demand gap, and improve productivity between custom implementation and physical verification tools, Cadence …

    Continue reading "CadenceTECHTALK: iPegasus Verification System for Virtuoso Studio"

  • Tue 2
    Screenshot 2025 09 29 235249
    December 2 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Advanced Packaging Market in 2026

    December 2, 2025 - 11:00 AM EST    December 3, 2025 – 10:00 AM JST/KST Discover the 5 Critical Advanced Packaging Market Trends Reshaping Semiconductors in 2026 AI, 3D stacking, and next-gen substrates—what’s next for advanced packaging. The advanced packaging industry is at the forefront of semiconductor innovation, driven by the explosive growth of AI and …

    Continue reading "Webinar: 5 Expectations for the Advanced Packaging Market in 2026"

  • Wed 3
    Screenshot 2025 10 22 032736
    December 3 - December 4

    PDF Solutions 2025 Users Conference & Analyst Day

    The semiconductor industry is experiencing accelerated innovation; demand has never been higher, complexity never greater, and the opportunities never more exciting. But realizing this potential requires partnerships, shared secure scalable solutions, and a collective commitment to pushing boundaries.  In this two-day conference, you'll: Hear from visionaries at Qualcomm, Intel, GlobalFoundries, STMicroelectronics, and SAP and many others.  We will demo breakthrough technologies in AI-driven …

    Continue reading "PDF Solutions 2025 Users Conference & Analyst Day"

  • Thu 4
    Screenshot 2025 08 20 145418
    December 4

    2025 TSMC Open Innovation Platform Ecosystem Forum – China

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – China"

  • Thu 4
    1759187598 a4c16b4f7f6def1e
    December 4 @ 10:00 AM - 11:00 AM

    Webinar: Simplify 1.6T Ethernet Testing: A New Way to Validate Interconnects

    As 1.6T Ethernet moves from concept to deployment, validating interconnects is more critical — and complex — than ever. But the challenge demands more than just speed — it’s proving performance in real-world conditions. Traditional test methods are slow and require manual intervention — adding complexity, longer development cycles, and gaps in validation. In this …

    Continue reading "Webinar: Simplify 1.6T Ethernet Testing: A New Way to Validate Interconnects"

  • Thu 4
    Screenshot 2025 08 27 212923
    December 4 @ 4:00 PM - 7:30 PM

    2025 GSA Awards Dinner Celebration

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    GSA recognizes semiconductor companies that have demonstrated excellence through their success, vision, strategy and future opportunities in the industry at its annual Awards Dinner Celebration. It is an honor to be selected as a nominee and an even more distinguished achievement to win a GSA Award. REGISTER HERE

  • Sat 6
    Screenshot 2025 06 10 170521
    December 6 - December 10

    IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting

    100 YEARS of FETs: SHAPING the FUTURE of DEVICE INNOVATIONS Inside IEEE IEDM 2025 Focus Sessions Focus Session #1 - Efficient AI Solutions: Architecture, Circuit, and 3D Integration Innovations for Memory and Logic Focus Session #2 - Beyond Silicon: The Invisible Revolution in Thin-Film Transistors Focus Session #3 - From P-bits to Qubits: Classical, Quantum-Inspired …

    Continue reading "IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting"

  • Mon 8
    Screenshot 2025 08 21 020159
    December 8 @ 10:00 AM - 11:30 AM

    CadenceTECHTALK: Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure

    Speaker: Kee Tat Ong, Principal Application Engineer 10:00am~11:00am Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure 11:00am~11:15am Q&A Description: With more designs migrating to advanced process nodes, chips are getting smaller, but design complexity is increasing in order to deliver better power, performance, or area. These optimizations are restricted by the time window to …

    Continue reading "CadenceTECHTALK: Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure"

  • Tue 9
    Screenshot 2025 09 29 235533
    December 9 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the PC/Laptop/Tablet Market in 2026

    December 9, 2025 - 11:00 AM EST    December 10, 2025 – 10:00 AM JST/KST Discover the 5 Critical PC/Laptop/Tablet Market Trends Reshaping Semiconductors in 2026 AI, tariffs, and processor innovations—what’s …

    Continue reading "Webinar: 5 Expectations for the PC/Laptop/Tablet Market in 2026"

  • Wed 10
    Screenshot 2025 10 22 041905
    December 10 - December 11

    AI Everywhere 2025

    Description In the data center, the shift to inference is in full swing. Infrastructure for inference is being built out at scale around the world in what Jensen Huang calls “AI factories,” optimized for the best possible tokens per dollar performance on a small but growing number of open-source LLMs. Sovereign AI efforts are also …

    Continue reading "AI Everywhere 2025"

  • Tue 16
    Screenshot 2025 09 29 235800
    December 16 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Consumer Electronics Market in 2026

    December 16, 2025 - 11:00 AM EST    December 17, 2025 – 10:00 AM JST/KST Discover the 5 Critical Consumer Electronics Market Trends Reshaping Semiconductors in 2026 AI, tariffs, smart home, …

    Continue reading "Webinar: 5 Expectations for the Consumer Electronics Market in 2026"

  • Wed 17
    1080x600 en 1.jpg
    December 17 - December 19

    SEMICON Japan 2025

    SEMICON Japan is the premier event that brings together the semiconductor manufacturing supply chain for the latest insights, trends and innovations as the industry powers digital transformation. SEMICON Japan 2025 will highlight Smart applications powered by semiconductor technology such as automotive and Internet of Things (IoT). REGISTER HERE

  • Wed 17
    Screenshot 2025 06 12 134829
    December 17 @ 10:00 AM - 4:00 PM

    Essential Debugging Techniques Workshop

    Essential Debugging Techniques Workshop This workshop is for hardware engineers, system architects, and anyone who wants to learn best practices for debugging challenging issues encountered while developing FPGAs, SoCs, PCBs, …

    Continue reading "Essential Debugging Techniques Workshop"

  • January 2026
  • Mon 5
    Screenshot 2025 09 30 000004
    January 5, 2026 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Mobile Market in 2026

    January 5, 2026 - 11:00 AM EST    January 6, 2026 – 10:00 AM JST/KST Discover the 5 Critical Mobile Market Trends Reshaping Semiconductors in 2026 Mobile AI, shifting supply chains, …

    Continue reading "Webinar: 5 Expectations for the Mobile Market in 2026"

  • Tue 6
    ces 2026 website 1500x1000 purple
    January 6, 2026 - January 9, 2026

    CES 2026

    The world’s most powerful tech event is your place to experience the innovations transforming how we live. This is where global brands get business done, meet new partners and where the industry's sharpest minds take the stage to unveil their latest releases and boldest breakthroughs. Get a real feel for the latest solutions to the …

    Continue reading "CES 2026"

  • Sun 11
    Screenshot 2025 08 27 214111
    January 11, 2026 - January 14, 2026

    ISS 2026 Industry Strategy Symposium

    Join us at the Ritz-Carlton in Half Moon Bay, CA to get the latest insights on economic trends, market drivers, geopolitics, technology, and what these will mean for the near future to help drive your business forward. The cooperative platform of ISS and its tremendous collective assets will serve to help power your strategies for …

    Continue reading "ISS 2026 Industry Strategy Symposium"

  • Wed 14
    Screenshot 2025 09 30 000153
    January 14, 2026 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Manufacturing Market in 2026

    Discover the 5 Critical Manufacturing Market Trends Reshaping Semiconductors in 2026 AI-driven investments, sustainability, and advanced materials—what’s next for semiconductor manufacturing. The semiconductor manufacturing industry is undergoing a transformative period …

    Continue reading "Webinar: 5 Expectations for the Manufacturing Market in 2026"

  • Sat 17
    images
    January 17, 2026 - January 22, 2026

    SPIE Photonics West 2026

    Share your work, insights, and breakthroughs. The 2026 call for papers is open. SPIE Photonics West is the world’s largest optics and photonics technologies event. Present your research in biomedical optics, biophotonics, industrial lasers, optoelectronics, microfabrication, displays, quantum, and emerging vision technologies. Conferences and Courses: 17–22 January This is the place to be in January …

    Continue reading "SPIE Photonics West 2026"

  • Thu 22
    1753295854533
    January 22, 2026 - January 23, 2026

    IEEE Hybrid Bonding Symposium

    January 22-23, 2026, hosted by SEMI International, Silicon Valley, CA USA Note: HBS’26 is a hybrid event, with both in-person and virtual participation via WebEx. Download the Call for Presentations! Hybrid Bonding has emerged as the technology of choice in the semiconductor and heterogeneous integration industries for ultra-fine-pitch interconnection. With significant benefits for interconnect density and device …

    Continue reading "IEEE Hybrid Bonding Symposium"

  • February 2026
  • Sun 15
    Screenshot 2025 08 27 215048
    February 15, 2026 - February 19, 2026

    2026 IEEE International Solid-State Circuits Conference (ISSCC)

    About ISSCC The International Solid-State Circuits Conference is the foremost global forum for presentation of advances in solid-state circuits and systems-on-a-chip. The Conference offers a unique opportunity for engineers working at the cutting edge of IC design and application to maintain technical currency, and to network with leading experts. What’s New Download the ISSCC 2026 …

    Continue reading "2026 IEEE International Solid-State Circuits Conference (ISSCC)"

  • Tue 17
    Screenshot
    February 17, 2026 - February 19, 2026

    Chiplet Summit 2026

    All the Solutions for Developing Chiplets 2025 Keynote Addresses from Industry Leaders: Alphawave Semi, Arm, Cadence Design Systems, Keysight, Open Compute Project, Synopsys, Teradyne 2025’s Main Topics Included: AI/ML Acceleration, Open Chiplet Economy, Advanced Packaging Methods, Die-to-die Interfaces, Working with Foundries signup to be a 2026 SPONSOR / Exhibitor REGISTER HERE

  • Wed 18
    WLPS 2026 Masthead
    February 18, 2026 - February 20, 2026

    Wafer-Level Packaging Symposium 2026

    Formatting Advanced Packaging for the Next Generation The evolution of Advanced Package Technology is experiencing substantial changes as system designs directly drive package performance requirements—an unprecedented development in the industry. Historically, architects constructed circuits within packaging constraints to prevent undesirable outcomes. Nevertheless, increasing transistor expenses and the demand for improved power efficiency necessitate advancing package …

    Continue reading "Wafer-Level Packaging Symposium 2026"

  • Thu 19
    Screenshot 2025 06 24 085557
    February 19, 2026 - February 20, 2026

    Semitracks Course: Defect-Based Testing

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. For example, today's application-specific ICs and microprocessors can contain upwards of 100 million transistors. Traditional testing relies on the stuck-at-fault (SAF) to model defect behavior. Unfortunately, the SAF model is a poor model for defects. Other models and strategies are required to catch …

    Continue reading "Semitracks Course: Defect-Based Testing"

  • Sun 22
    Screenshot 2025 08 27 215756
    February 22, 2026 - February 26, 2026

    SPIE Advanced Lithography + Patterning 2026

    From materials to metrology: pushing the limits of lithography Share your research, challenges, and breakthroughs at this leading semiconductor conference in San Jose Submit your abstract and connect with leading researchers advancing solutions in optical lithography, EUVL, patterning technologies, metrology, and process integration for semiconductor manufacturing and related applications. Call for papers is now open. …

    Continue reading "SPIE Advanced Lithography + Patterning 2026"

  • Mon 23
    Screenshot 2025 06 24 085557
    February 23, 2026 - February 26, 2026

    Semitracks Course: Wafer Fab Processing

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

    Continue reading "Semitracks Course: Wafer Fab Processing"

  • Tue 24
    Screenshot 2025 08 27 220051
    February 24, 2026 - February 26, 2026

    FLEX 2026 – Technology Summit

    FLEX 2026 | TECHNOLOGY SUMMIT | FEBRUARY 24-26, 2026 THE WIGWAM ARIZONA RESORT | PHOENIX, AZ A 25th Anniversary Celebration Escape the winter and celebrate 25 years of innovation with us at The Wigwam Arizona Resort in Phoenix, AZ. FLEX—Technology Summit is a vibrant networking event designed to foster community building. Connect with like-minded professionals, share ideas, and forge …

    Continue reading "FLEX 2026 – Technology Summit"

  • March 2026
  • Mon 2
    Screenshot 2025 06 24 085557
    March 2, 2026 - March 5, 2026

    Semitracks Course: Failure and Yield Analysis

    Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

    Continue reading "Semitracks Course: Failure and Yield Analysis"

  • Mon 2
    Screenshot 2025 08 27 220509
    March 2, 2026 - March 5, 2026

    DVCON U.S. 2026

    DVCon is the premier conference on the application of languages, tools, and methodologies for the design and verification of electronic systems and integrated circuits. The focus of the conference is the usage of specialized design and verification languages such as SystemVerilog, Verilog, VHDL, PSS, SystemC and e, as well as general purpose languages such as …

    Continue reading "DVCON U.S. 2026"

  • Mon 9
    Screenshot 2025 06 24 085557
    March 9, 2026 - March 12, 2026

    Semitracks Course: Semiconductor Reliability and Product Qualification

    Product reliability and qualification continues to evolve with the electronics industry. New electronics applications require new approaches to reliability and qualification. In the past, reliability meant discovering, characterizing and modeling failure mechanisms, and determining their impact on the reliability of the circuit. Today, reliability can involve tradeoffs between performance and reliability; assessing the impact of …

    Continue reading "Semitracks Course: Semiconductor Reliability and Product Qualification"

  • Tue 10
    145 embedded world 2026
    March 10, 2026 - March 12, 2026

    embedded world 2026

    Global platform for the embedded community The embedded world Exhibition&Conference provides a global platform and a place to meet for the entire embedded community, including leading experts, key players and industry associations. It offers unprecedented insight into the world of embedded systems, from components and modules to operating systems, hardware and software design, M2M communication, …

    Continue reading "embedded world 2026"

  • Sun 15
    images
    March 15, 2026 - March 19, 2026

    OFC 2026

    Explore the Ever-Expanding Optical Networking and Communications Industry Plenary Session Esteemed industry luminaries from Coherent, NVIDIA and Tesat-Spacecom will headline the event Tuesday, 17 March. These distinguished speakers will explore cutting-edge technologies, and provide invaluable insights into the evolving landscape of optical networking and communications. The Exhibition The exhibition features more than 700 industry-leading companies representing the entire ecosystem …

    Continue reading "OFC 2026"

  • Mon 16
    Screenshot 2025 06 24 085557
    March 16, 2026 - March 17, 2026

    Semitracks Course: EOS, ESD and How to Differentiate

    Electrical Overstress (EOS) and Electrostatic Discharge (ESD) account for most of the field failures observed in the electronics industry. Although EOS and ESD damage can at times look quite similar to each other, the source each and the solution can be quite different. Therefore, it is important to be able to distinguish between the two …

    Continue reading "Semitracks Course: EOS, ESD and How to Differentiate"

  • Sun 22
    ieee international reliability physics symposium irps cover
    March 22, 2026 - March 26, 2026

    IRPS 2026

    About IRPS For over 60 years, IRPS has been the premiere conference for engineers and scientists to present new and original work in the area of microelectronics reliability. Drawing participants from the United States, Europe, Asia, and all other parts of the world, IRPS seeks to understand the reliability of semiconductor devices, integrated circuits, and …

    Continue reading "IRPS 2026"

  • Tue 31
    Screenshot 2025 08 27 224522
    March 31, 2026 - April 2, 2026

    MSEC 2026

    SENSORIZATION: ENABLING A NEW INTELLIGENCE The MEMS and Sensors Executive Conference 2024 is designed for senior executives across the MEMS and sensors supply chain and adjacent industries.  Industry economic, business updates will be covered from different aspects of the ecosystem, together with forward-looking strategic and technology trends. The opportunities and challenges brought about by key …

    Continue reading "MSEC 2026"

  • April 2026
  • Sun 12
    Screenshot 2025 08 27 225241
    April 12, 2026 - April 16, 2026

    SPIE Photonics Europe 2026

    Make plans to share your work with other experts in April 2026 Present your research at the only cross-disciplinary event highlighting compelling optics and photonics technologies—from digital optics to quantum technologies to optical imaging, sensing, and metrology. Additional topics include THz photonics, 3D printed optics, photonic glasses, photosensitive materials, and biophotonics. Call for papers is …

    Continue reading "SPIE Photonics Europe 2026"

  • Mon 20
    Screenshot 2025 08 27 225522
    April 20, 2026 - April 22, 2026

    DATE 2026

    Design, Automation and Test in Europe Conference | The European Event for Electronic System Design & Test Call for Papers The DATE conference is the main European event bringing together designers and design automation users, researchers and vendors, as well as specialists in hardware and software design, test, and manufacturing of electronic circuits and systems. …

    Continue reading "DATE 2026"

  • May 2026
  • Mon 11
    TemporaryLogo 2026 01
    May 11, 2026 - May 13, 2026

    Embedded Vision Summit 2026

    The premier conference for innovators incorporating computer vision and AI in products The 2025 Summit has now concluded. Join us May 11-13, 2026! Attend an Immersive Experience At the premier conference for innovators incorporating computer vision and AI into products Join 1,400+ product and application developers, business leaders, investors and customers—all focused on perceptual AI …

    Continue reading "Embedded Vision Summit 2026"

  • Mon 11
    Screenshot 2025 08 27 225853
    May 11, 2026 - May 14, 2026

    ASMC 2026

    ASMC brings together manufacturers, equipment and materials suppliers, and academia to solve manufacturing challenges with innovative strategies and methodologies. View the 2025 Agenda Advancing Semiconductor Manufacturing Excellence ASMC is the leading international technical conference for discussing solutions that improve the collective manufacturing expertise of the semiconductor industry. Solving the challenges presented by semiconductor manufacturing is …

    Continue reading "ASMC 2026"

  • Mon 18
    Screenshot 2025 08 27 230026
    May 18, 2026 - May 20, 2026

    VOICE 2026

    VOICE is a developer conference, created by test engineers for test engineers. Each year, the VOICE Developer Conference unites semiconductor test professionals representing the world's leading integrated device manufacturers (IDMs), foundries, fabless semiconductor companies and outsourced semiconductor assembly and test (OSAT) providers to exchange information about the latest technology advancements, express new ideas, share best …

    Continue reading "VOICE 2026"

  • June 2026
  • Tue 2
    taipei taiwan computex 2026
    June 2, 2026 - June 5, 2026

    COMPUTEX Taipei 2026

    Main Themes COMPUTEX is a leading global exhibition focused on AIoT and startups. The expo will continue with the position of “AI Next”, featuring the latest tech trends: AI & Robotics, Next-Gen Tech, and Future Mobility. Recognized for its adaptability to industry changes. It has established itself as a premier platform for showcasing technological innovations. …

    Continue reading "COMPUTEX Taipei 2026"

  • Sun 14
    Screenshot 2025 08 27 230953
    June 14, 2026 - June 18, 2026

    2026 IEEE/JSAP Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)

    New concepts and breakthroughs in VLSI processes and devices including Memory, Logic, I/O, and I/F (RF/Analog/MS, Imager, MEMS, etc.) - Advanced gate stack and interconnect in VLSI processes and devices - Advanced lithography and fine patternig technologies for high density VLSI - New functional devices beyond CMOS with a path for VLSI implantation - Packing …

    Continue reading "2026 IEEE/JSAP Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)"

  • Tue 16
    Screenshot 2025 08 27 231304
    June 16, 2026 - June 17, 2026

    Automobil-Elektronik Kongress 2026

    We are excited to announce the 30th Automobil-Elektronik Kongress, set to take place on June 16 and 17, 2026 at the Forum am Schlosspark in Ludwigsburg, Germany. This prestigious technical conference will bring together industry experts, researchers, and innovators to discuss the latest advancements in automotive electronics. Join us to stay at the forefront of innovation …

    Continue reading "Automobil-Elektronik Kongress 2026"

  • Previous Events
  • Today
  • Next Events
  • Google Calendar
  • iCalendar
  • Outlook 365
  • Outlook Live
  • Export .ics file
  • Export Outlook .ics file

Copyright © 2025 SemiWiki.com. All rights reserved.
Legal / Sponsor Disclosure - About SemiWiki