SILVACO 073125 Webinar 800x100

Career in EDA Versus Chip Design: Solving the Dilemma

Career in EDA Versus Chip Design: Solving the Dilemma
by Jai Pollayil on 07-01-2024 at 6:00 am

EDA Semiconductor

Chip design and Electronic Design Automation (EDA) are two sides of the same coin in the semiconductor industry. Both fields are critical for developing the advanced integrated circuits (ICs) that power our modern world. This article explores the differences between a career in chip design and EDA, drawing on my personal experience transitioning from chip design to leading Application Engineering team at Ansys Semiconductor division globally.

My Journey from Chip Design to EDA

I began my career in the year 2000 as a circuit design engineer at Alliance Semiconductor (now part of ON Semiconductor). There, I focused on designing memory chips using circuit schematic/layout capture tools and spice simulators. In this role, the emphasis was on the engineer’s skillset rather than an in-depth understanding of EDA tools.

Transitioning to digital IC design at Texas Instruments (TI) exposed me to the significant reliance on EDA tools in the digital design domain. The success of a design heavily depended on both the engineer’s expertise and the capabilities of the EDA tool itself. This realization sparked my interest in the EDA world.

The Allure of EDA

While at TI, I interacted with EDA Application Engineers who played a crucial role in helping chip designers achieve optimal results. Witnessing their expertise, I recognized that for chip backend designers, true mastery required not only design skills but also a deep understanding of the underlying EDA tools. This realization paved the way for my move to the EDA industry.

The Advantages of an EDA Career
  • Technical Exposure: Working in EDA as an application or product engineer offers exposure to advanced technologies like BSPDN and SPR well before they reach chip designers. You become part of a larger ecosystem that shapes the future of semiconductor technology.
  • Cross-Team Collaboration: EDA companies like Ansys foster a collaborative environment where chip design, package design, and board design are integrated, unlike the compartmentalized structure often found in semiconductor companies. This collaboration is becoming essential for designing complex multi-die/3D IC systems.
  • Business Acumen: As an application engineer, you’re closer to the revenue stream, collaborating with sales teams and witnessing the direct impact of your efforts on the company’s growth.
  • Work Culture and Benefits: EDA companies typically foster a more customer-focused work culture, with opportunities for travel and a better work-life balance compared to the chip design industry with its highly demanding tapeout deadlines.
  • Industry Stability: The EDA industry boasts excellent compensation and benefits, with a stable and resilient revenue model as the business deals in EDA are mostly based on multi-year contracts. The growing number of chip design companies and the increasing complexity of ICs further fuel the demand for EDA tools.
  • The Future of EDA: With the rise of Artificial Intelligence and Machine Learning, the role of EDA tools are becoming ever more crucial. Chip designers are relying more heavily on these tools, making the future of the EDA industry exceptionally bright.
Conclusion

Choosing between a career in chip design and EDA depends on your individual preferences. If you enjoy a hands-on chip design experience, areas like analog circuit design might be a better fit. But if you crave exposure to cutting-edge technologies, business insights, and a collaborative work environment, then EDA offers a compelling path. The increasing interdependence between these two fields creates exciting opportunities for those considering a career in either domain.

Also Read:

Synopsys Accelerates Innovation on TSMC Advanced Processes

SoC Power Islands Verification with Hardware-assisted Verification

Anirudh Fireside Chats with Jensen and Cristiano


Podcast EP232: The Evolution of Yield Learning and Silicon Debug with Marc Hutner

Podcast EP232: The Evolution of Yield Learning and Silicon Debug with Marc Hutner
by Daniel Nenni on 06-28-2024 at 10:00 am

Dan is joined by Marc Hutner. Marc has been innovating in the areas of design, test, DFT and data analytics for more than 20 years. In June of 2023, he joined the Siemens EDA Tessent group as the product director of Silicon Learning, enabling how silicon data is applied to yield improvement and silicon debug. Previously, he worked for proteanTecs as senior director of product marketing and Teradyne as a system/silicon architect.

Marc explains how yield learning and silicon debug have evolved in the era of high complexity SoCs and multi-die systems. It turns out understanding how to harness the huge volume of test data available is a big part of a successful strategy. Marc discusses AI, ML and other techniques to improve yield insights that can result in millions of dollars of savings.

He describes the importance of people, processes, and technology and how they all relate to each other and the larger ecosystem for silicon production. He discusses some of the innovations at Siemens EDA and its impact.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.


VLSI Technology Symposium – Intel describes i3 process, how does it measure up?

VLSI Technology Symposium – Intel describes i3 process, how does it measure up?
by Scotten Jones on 06-28-2024 at 6:00 am

Figure 1. Process Key Dimensions Comparison.

At the VLSI Technology Symposium this week Intel released details on their i3 process. Over the last four nodes Intel has had an interesting process progression. In 2019, 10nm finally entered production with both high performance and high-density standard cells. 10nm went through several iterations eventually resulting in i7, a high-performance cell only process. When we characterize process density, we always talk about the highest density logic standard cell, 10nm achieved just over 100 million transistors per millimeter squared density (MTx/mm2), i7 in in 2022 only achieved approximately 64 MTx/mm2 density because it only had high performance cells. i4 entered production in 2023 and is once again a high-performance cell only process and achieves approximately 130 MTx/mm2. Finally, i3 will enter production in 2024 on multiple Intel products providing both high performance and high-density cells. The high-density cells achieve approximately 148 MTx/mm2 transistor density.

The key dimensions for the processes are compared in figure 1.

Figure 1. Process Key Dimensions Comparison.

In figure 1 the values for 10nm and i7 are actual values measured by TechInsights on production parts, the i4 and i3 values are from the VLSI Technology papers on i4 [1], and i3 [2]. The cell height for i3 of 210nm is for high density cells, there is also a 240nm height high performance cell with the same density as the i4 process. 240nm height high performance cells are 3 fin devices the same at i4 and the 210nm high density cells are 2 fin devices with wide metal zero.

Figure 2 presents the density changes between the processes in graphics form.

From 32nm through 10nm Intel accelerated from  2.0x to 2.4x and then to 2.7x density improvements, but as is the case with other companies pushing the leading edge, i3 is a less than 2x density jump.

Figure 2. Intel Process Density Comparison.

Figure 3 is from the Intel presentation and presents more details on the i4 to i3 process shrink.

Figure 3. i4 to i3 Process Shrink.

The i3 process will offer multiple variants targeted at different applications.

  • i3 base process and i3-T with TSVs targeted at client, server and base die for chiplet applications.
  • i3-E offer native 1.2 volt I/O devices, deep N-wells, and long channel analog devices, and is targeted at chipsets and storage applications.
  • i3-PT targets high performance computing and AI with 9μm pitch TSVs and hybrid bonding.

Figure 4 summarizes the process variants.

Figure 4. i3 Process Variants.

i3 features:

  • Smaller M2 pitch than i4.
  • Better fin profile.
  • Utilizes dipoles to set threshold voltages, i4 does not use dipoles. Dipoles improve gate oxide reliability.
  • Offer 14, 18, and 21 metal layer options (counts include metal 0).
  • 4 threshold voltages, V:VT, LVT, SVT, HVT.
  • Contact optimization to provide less overlap capacitance.
  • More effective EUV usage, i4 was Intel’s first EUV process, i3 EUV processes are less complex.
  • Lower line resistance and capacitance than i4.
  • 5x lower leakage at the same drive current as i4.
  • Increased frequency and drive current with no hot carrier increase.
  • Interconnect delay is now approximately half of overall delay and the base process has better RC delay, the PT process is even better.
  • At the same power i3 HD cells provide 18% better performance than i4 HP cells.

Figure 5 presents the interconnect pitches for the 14, 18, and 21 metal options.

Figure 5. Interconnect Pitches.

Figure 6 illustrates the improvement in interconnect RC delay.

Figure 6. Interconnect RC Delay.

And finally, figure 7 illustrates the 18% performance improvement over i4.

Figure 7. Interconnect Delay Improvement.

During an analysts briefing session questions and answers session Intel disclosed the channels are all silicon, no silicon germanium channels. Also, i4 designs have been ported to i3 and they are seeing PPA improvements on the same designs.

i3 is currently in high volume manufacturing with multiple Intel products.

i3 clearly represents a significant improvement over i4.

Comparisons to competitors

i3 is a significant improvement over i4 but how does it compare to competitors?

TechInsights has analyzed density, performance, and cost of i3 versus Samsung and TSMC processes. That analysis is available in the TechInsights platform here (free registration required):

Conclusion

Intel’s i3 process is a significant step forward from Intel’s i4 process with better density and performance. Intel’s i3 process is a more competitive foundry process than previous generations. Cost is more in-line with other foundry processes, density is slightly lower than Samsung 3nm and much lower than TSMC 3nm, but it has the best performance of the “3nm” processes.

Also Read:

What’s all the Noise in the AI Basement?

The Case for U.S. CHIPS Act 2

Intel is Bringing AI Everywhere


Three New Circuit Simulators from Siemens EDA

Three New Circuit Simulators from Siemens EDA
by Daniel Payne on 06-27-2024 at 10:00 am

solido simulation suite

The week before DAC I had the privilege to take a video call with Pradeep Thiagarajan – Product Manager, Simulation, Custom IC Verification at Siemens EDA to get an update on new simulation products. I’ve been following Solido for years now and knew that they were an early adopter of ML for Monte Carlo simulations with SPICE users. Using generative AI with LLM has become quite popular with vendors like OpenAI, Google and Microsoft all updating their product offerings. This trend is driving semiconductor design starts, increasing system complexity, rising semiconductor costs, all while our universities are not attracting enough students to become engineers. Many of my EDA and semiconductor peers are now in retirement age. So, AI has the promise to help meet these challenges by improving productivity.

Over the years the software tools at Siemens EDA have infused AI technology where it makes sense:

  • Emulation, Prototyping – Veloce
  • Digital verification – Questa
  • Custom IC verification – Solido
  • DFT – Tessent
  • Place & Route Floor planning – Aprisa
  • DRC, LVS, DFM – Calibre
  • PCB design exploration – HyperLynx
  • PCB design – Xpedition

The news is that for Custom IC verification, there are three new product announcements under the name of Solido Simulation Suite. Let me show you where these new simulators fit into the product family.

Solido Simulation Suite has three new technologies with descriptive product names to fit different requirements:

  • Solido SPICE
  • Solido LibSPICE
  • Solido FastSPICE

The motivation for adding three new circuit simulators is to meet the growing need from 7nm and smaller nodes for higher performance and capacity while maintaining accuracy. Yes, the existing SPICE tools AFS and Eldo continue to serve customers and will remain supported and enhanced.

Three New Circuit Simulators

The Solido Design Environment was launched in 2023 at DAC, followed by the Solido Characterization Suite, and then the Solido IP Validation for QA was announced in May, so this news of three new simulators continues the progress at Siemens EDA. The Solido R&D headcount has doubled in just the past 3 years to bring all these advancements to life. Solido Sim AI is a technology inside of each new simulator to further accelerate the many internal computations, like: netlist parsing, model evaluation, partitioning, and matrix solving. The transistor models for 2nm and 3nm nodes are quite complex now, so using acceleration helps reduce run times.

For SPICE accuracy engineers would run Solido SPICE, for smaller designs and library characterization runs it would be Solido LibSPICE, and for the largest designs including memories the Solido FastSPICE tool is the best choice. These simulators also integrate nicely with other Siemens EDA tools, like mPower for EM/IR analysis, ESD analysis with Calibre PERC, 3D IC electro-thermal with Calibre 3DThermal, and analog fault analysis with Tessent Defectsim.

Looking at customer circuits the speed improvements in Solido SPICE showed a 2X – 30X verification speedup at full SPICE accuracy, Solido LibSPICE had 2.3X to 5.5X speedups across a variety of library cell runs, and Solido FastSPICE touted speed improvements ranging from 13.8X up to 68X. Early customer endorsements were noted from tier-one semiconductor companies: Silicon Laboratories, and Samsung Electronics. Foundry endorsement from Intel Foundry too.

Summary

The challenges of designing an SoC or chiplet with nm process nodes continue to grow, demanding higher capacity circuit simulations and even integration with other analysis tools. Siemens EDA has just launched three new circuit simulators that span a spectrum from SPICE accurate to libraries to the largest netlists, and the early customer results show dramatic speed improvements while maintaining accuracy. Integration with tools for EM/IR, ESD and 3D IC make these new simulators more valuable. Many EDA vendors launch a new circuit simulator once every 3-5 years, but having three new circuit simulators all at one time is something that I’ve never seen done before, so kudos to the development teams at Siemens EDA for pulling this feat off.

Related Blogs

 


Podcast EP231: Details of the New Solido Simulation Suite with Sathish Balasubramanian

Podcast EP231: Details of the New Solido Simulation Suite with Sathish Balasubramanian
by Daniel Nenni on 06-27-2024 at 8:00 am

Dan is joined by Sathishkumar Balasubramanian. Sathish currently leads the product management and marketing organization for CustomIC Verification (CICV) division at Siemens. Sathish is an experienced product leader with over 20+ years of experience in the EDA industry.

Sathish’s focus is on bringing value to the semiconductor ecosystem through innovative solutions. Sathish is proficient in scaling product portfolio growth and expansion of market share/revenue through relentless focus on data-based execution and thought leadership. Prior to Siemens, Sathish held various product management, strategic business development and corporate development roles for Cadence Design Systems and Synopsys.

Sathish describes a major announcement being made at DAC for a new Solido Simulation Suite. This represents a new, AI-powered circuit simulation capability to address the special requirements of advanced designs such as those driven by AI technology.

Sathish provides details of three new capabilities that are part of the Solido Simulation Suite. The first is Solido SPICE, a foundry-certified circuit simulator that provides significant speedup compared to other Spice simulators. The second is Solido Fast SPICE that employs AI partitioning and multiresolution technology to deliver orders of magnitude speedup. And the third is a purpose-built simulator focused on the special needs of foundation IP to ensure robust performance for new foundation IP design.

Sathish explains how these new offerings are integrated into the overall design flow to address all the requirements for advanced design verification.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.


Siemens Provides a Complete 3D IC Solution with Innovator3D IC

Siemens Provides a Complete 3D IC Solution with Innovator3D IC
by Mike Gianfagna on 06-27-2024 at 6:00 am

Siemens Provides a Complete 3D IC Solution with Innovator3D IC

Heterogeneous multi-die integration is gaining more momentum all the time. The limited roadmap offered by Moore’s Law monolithic, single-die integration has opened the door to a new era of more-than-Moore heterogeneous integration. The prospects offered by this new design paradigm are exciting and the entire ecosystem is jumping in to bring it all closer to reality. Standards to help make chiplets more widely available, new materials to increase density and a host of design technologies to make it all work are underway. While all this promises to deliver an integrated design capability, the elephant in the room is where to start.  High quality and well-integrated up-front planning at the system level is a necessity to ensure success for the next wave of designs. Siemens Digital Industries Software recently announced a comprehensive new approach to 3D IC design. They seem have gotten it right regarding how to scope the problem for success. Let’s examine how Siemens provides a complete 3D IC solution with Innovator3D IC.

What Problem Needs Solving?

Keith Felton

I recently had the opportunity to chat with Keith Felton, product marketing manager at Siemens for High-Density Advanced Packaging (HDAP) solutions. Keith has a long history of solving advanced design and packaging challenges.

Keith explained that there is indeed a lot of work going on to address the challenges of tasks such as place and route for multi-die heterogeneous designs. All of that is quite important, but Keith pointed out that early feasibility planning and analysis of the system, before implementation begins is a critical step that needs to be addressed first. The questions that must be answered before implementation begins include:

  • What are the system thermal considerations?
  • Can I get the right level of power to all parts of the system?
  • How will the substrate and the overall package behave under typical and extreme operation?

This is just a summary of a much longer list of questions that must be addressed early in the design flow and at the system level. This is really the only way to avoid downstream re-work that can have substantial negative impact. Keith explained that part of the innovation here is to build a digital twin model of the system early. Using this model a design cockpit can be created that allows forward visibility to all downstream tools to allow tradeoffs to be assessed and roadblocks avoided before detailed implementation begins.

This made perfect sense to me. Let’s look at some of the details of the announcement.

How Siemens Provides a Complete 3D IC Solution with Innovator3D IC

Innovator3D IC delivers the fastest and most predictable path for planning and heterogeneous integration of ASICs and chiplets using the latest semiconductor packaging 2.5D and 3D technology platforms and substrates. The technology provides a unified cockpit for design planning, prototyping and predictive multi-physics analysis. This cockpit constructs a power, performance, area (PPA) and cost optimized digital twin of the complete semiconductor package assembly that in turn drives implementation, multi-physics analysis, mechanical design, test, signoff, and release to fabrication and manufacturing through a managed and secure design IP digital thread conduit.

Innovator3D IC is architected around the system technology co-optimization (STCO) methodology process developed by IMEC. STCO is utilized throughout prototyping and planning, design, sign-off, and manufacturing hand-off, concluding with comprehensive verification and reliability assessment.

The figure below summarizes the broad set of capabilities delivered by Innovator3D IC.

Innovator3D IC Heterogeneuous Integration Cockpit

Although the cockpit is directly integrated with the extensive Siemens Xcelerator technology portfolio, it supports the integration of third-party point solutions, recognizing that customers may have third party tools in their current design flows that they wish to continue using. The co-optimization employed by Innovator3D IC also makes extensive use of AI technology for co-optimization as shown in the figure below.

Innovator3D IC AI Infused Co Optimization

Industry standards support is also an important part of the overall solution. A key area is the commitment and support for the growing 3Dblox™ standard that enables EDA tool interoperability, bringing the benefits of improved productivity and efficiency to end users and customers in 3D IC system level designs.

It is also important to ensure frictionless adoption and consumption of existing and new die-to-die interface IP, such as UCIe and BoW. The Open Compute Project Chiplet Design Exchange Working Group (OCP CDX) has enabled direct consumption of standardized chiplet models that will be provided by the emerging commercial chiplet ecosystem.

Predictive multiphysics analysis is also an important part of the solution. During prototyping and planning it is critical to evaluate the performance of all design scenarios before committing to implementation. Innovator3D IC integrates directly with power, signal, thermal, and mechanical stress analyses so that a design scenario can be evaluated quickly, and any issues explored and resolved prior to detailed design implementation. This shift-left approach prevents costly and time-consuming downstream rework and sub-optimal results.

To Learn More

According to the announcement, Innovator3D IC is expected to be available later in 2024. You can learn more about Siemens’ Innovator3D IC software here.  You’ll find a lot of useful information there, including a very informative brochure. You can read the complete press release here.  And that’s how Siemens provides a complete 3D IC solution with Innovator3D IC.

 

 

 


New EDA Tool for 3D Thermal Analysis

New EDA Tool for 3D Thermal Analysis
by Daniel Payne on 06-26-2024 at 10:00 am

3D IC cross section min

An emerging trend with IC design is the growing use of chiplets and even 3D IC designs, as the disaggregated approach has some economic and performance benefits over a single SoC. There are thermal challenges with using chiplets and 3D IC designs, so that means that thermal analysis has become more important. I just spoke with Michael White, Sr. Director in the Calibre group at Siemens EDA to get an update on their newest product, and it’s called Calibre 3DThermal.

3D IC cross-section

The emphasis with Calibre 3DThermal is to enable shift-left, helping IC designers get through analysis and verification more efficiently by doing early feasibility analysis of their IP, chiplet, SoC and package, eliminating surprises at the end of a project. This approach allows a team to start thermal analysis quite early, even in the concept phase with very few details, just to get the analysis process started. Siemens EDA has an array of tools from IC to package to systems, and now these tools can communicate through thermal analysis.

Siemens EDA thermal flows

This is another example of EDA enabling multi-physics analysis, as thermal issues also impact power, stress, timing and variation. Calibre 3DThermal has been designed to be easy to learn and use. The Simcenter Flotherm tool has been in use for years now in package and system thermal analysis, and with 3DThermal design teams can pass info back and forth from inside the package then outwards to the system. As a design progresses and more details are available, then annotated SPICE netlists are sent to Solido and other circuit simulators.

Early feasibility analysis helps design teams make decisions about floor planning, gauging the impact of using heatsinks, adding thermal TSVs, and seeing how close they are at meeting power, thermal and timing goals. Data used in Flotherm can use an embedded, abstracted model of the package, even encrypting it to hide any sensitive details or trade secrets.

.

Calibre 3DThermal to Flotherm

Inside the 3DThermal tool is an optimized version of the Flotherm solver for even better capacity during analysis of large IC designs. The 3DThermal tool could be used by a package engineer, systems designer or an IC designer to perform analysis. Engineers add details like LEF/DEF and GDS/OASIS files. Fast and accurate results are made easier through automatic gridding, automatic time step generation and automatic chip thermal model creation.  The 3Dblox language started by TSMC is also supported.

3DThermal Screenshots

UMC and their customers collaborated with Siemens EDA  during the development of Calibre 3DThermal

Summary

 It’s a busy week at DAC, and Siemens EDA has just announced another addition to the growing Calibre family of tools with their new 3DThermal product, enabling chiplet and 3D IC designers to start early thermal analysis, then proceed throughout the design process to work with package and systems engineers to meet thermal, power and timing goals. Multi-physics analysis is enabled with this approach, allowing teams to shift-left on tough problems. Expect to see announcements from the major foundries on their support of Calibre 3DThermal.

Read the press release from Siemens EDA online.

Related Blogs


Novelty-Based Methods for Random Test Selection. Innovation in Verification

Novelty-Based Methods for Random Test Selection. Innovation in Verification
by Bernard Murphy on 06-26-2024 at 6:00 am

Innovation New

Coverage improvement effectiveness through randomized testing declines as total coverage improves. Attacking stubborn holes in coverage could be augmented through learned novel test guidance to random test selection. Paul Cunningham (GM, Verification at Cadence), Raúl Camposano (Silicon Catalyst, entrepreneur, former Synopsys CTO and now Silvaco CTO) and I continue our series on research ideas. As always, feedback welcome.

The Innovation

This month’s pick Using Neural Networks for Novelty-based Test Selection to Accelerate Functional Coverage Closure. This article was published in 2023 IEEE AITest. The authors are from Bristol University (UK) and SiFive.

Randomized tests already benefit from ML methods to increase coverage in lightly covered regions of state space. However they struggle to handle coverage holes where there are no or few representative tests from which learning can be derived. This paper suggests learned methods to generate novel tests from input tests based on dissimilarity in each case from the input test

Paul’s view

AI again this month, this time AI to guide randomized simulation vs. to root cause bugs. In commercial EDA, AI-driven random simulation is hot and beginning to deploy at scale.

This paper focuses on an automotive RADAR signal processing unit (SPU) from Infineon. The SPU has 265 config registers and an 8,400-event test plan. Infineon tried 2 million random assignments of values to the config registers to cover their test plan.

The authors propose using a NN to guide config register values to close coverage faster. Simulations are run in batches of 1000. After each batch the NN is re-trained and used to select the next batch of 1000 configs that the NN scores highest from a test pool of 85k configs. Configs that are more different (“novel”) from previously simulated configs score higher. The authors try 3 NN scoring methods:

  • Autoencoder: NN determines only novelty of the config. The NN is a lossy compressor/decompressor for config register values. The 265 values for a config are compressed down to 64 (as trained by configs simulated so far) then expanded back to 265 (as trained same way). The bigger the error in decompression the more “novel” that config is.
  • Density: NN predicts coverage from config register values. The novelty of a new config is determined by inspecting hidden nodes in the NN and comparing to the values of these nodes for previously simulated configs. The bigger the differences the more novel that config is.
  • Coverage: NN predicts coverage from config register values. A final layer is added to the NN with only one neuron, trained to compute a novelty score as a weighted sum of predicted coverage over 82,000 cover events. The weight of each event is based on its rarity – events rarely hit by configs simulated so far are weighted higher.

Results are intriguing: the coverage-NN achieves the biggest improvement at around a 2.13x reduction in simulations needed to hit 99% and 99.5% coverage. However, it’s quite noisy and repeating the experiment 10 times reduces the gain to 1.75x. The autoencoder-NN is much more stable, achieving 1.87x best case and a matching 1.75x on average – even though it doesn’t consider coverage at all! The density-NN is just bad all over.

Great paper, well written, would welcome follow-on research.

Raúl’s view

This is about Neural networks to increase functional coverage, to find “coverage holes”. In previous blogs we reviewed the use of ML for fault localization (May 2024), to simulate transient faults (March 2024), verifying SW for Cyber-Physical systems (November 2023), generating Verilog assertions (September 2023), code review (July 2023), detecting and fixing bugs in Java (May 2023), improving random instruction generators (February 2023) – a wide range of functional verification topics tackled by ML!

The goal is to choose tests generated from a Constrained Random Test Generator to favor “novel” tests based on the assumption that novel tests are more likely to hit different functional coverage events. This has been done before with good results as explained in section II. The authors build a platform called Neural Network based Novel Test Selector (NNNTS). NNNTS picks tests in a loop, retraining three different NN for three different similarity criteria. These NNs have 5 layers with 1-512 neurons in each layer. The three criteria are:

  • Calculates the probability of a coverage event being hit by the input test
  • Reduces an input test into lower dimensions and then rebuilds the test from the compressed dimensions. The mean squared difference that expresses the reconstruction error is considered as Novelty Score.
  • Assumes that for a simulated test, if a coverage event hit by the test is also often hit by other simulated tests, then the test is very similar to the other tests in that coverage-event dimension. The overall difference of a simulated test in the coverage space is the sum of the difference in each coverage-event dimension.

They test against a Signal Processing Unit of the ADAS system. The production project consumes 6-month simulation of ~2 million constrained random tests with almost 1,000 machines and EDA licenses. The simulation expense of each test is 2 hours on average, there is some manual intervention and in the end 85,411 tests are generated.

In the experiment 100 tests from all generated tests are randomly picked to train NNNTS and then 1000 tests are picked at a time before retraining until reaching a coverage of 99% and 99.5%. This is repeated many times to get statistics. Density does the worst, saving on average 22% over random selection of tests to achieve 99% coverage and 14% to achieve 99.5%. Autoencoder and Density perform similarly, saving on average about 45% to reach 99% and 40% to reach 99.5% coverage.

This work is impressive as it can reduce the time and cost for functional verification by 40%, in the example of 6 months,1000 machines and EDA licenses and people – though the paper does not specify the cost of running NNNTS. The paper reviewed in February 2023 achieved 50% improvement on a simpler test case and a different method (DNNs were used to approximate the output of the simulator). I think enhancing/speeding up coverage in functional verification is one of the more promising areas for the application of ML, as shown in this paper.

Also Read:

Using LLMs for Fault Localization. Innovation in Verification

A Recipe for Performance Optimization in Arm-Based Systems

Anirudh Fireside Chats with Jensen and Cristiano


Lab on Cloud Demonstration

Lab on Cloud Demonstration
by Daniel Payne on 06-25-2024 at 10:00 am

TenXer lab setup min

Systems engineers often want to select the best IC for their projects, yet the time required to search online, buy an Evaluation Kit, waiting to receive it in the mail, then getting it installed and setup for testing can be a barrier and lengthen the project timeline. This is where TenXer Labs comes in, as they have cleverly developed a Lab-on-Cloud offering, with over 80 Evaluation Kits setup in their labs and controlled through a web interface virtually. I had a video call to see this concept demonstrated in real time recently, and I asked lots of questions to learn more about their service.

Anand Subramanian, Director of Engineering at TenXer Labs, provided the demonstration and he has 23 years of experience in multiple areas: software engineering, security domain, AWS infrastructure, cloud security, automation. The first demonstration had a Renesas board for a single-burner induction cooktop, complete with a power board, HMI board, oscilloscope, controls and video.

Demonstration Setup

The web browser used to view and control the evaluation board started with a simple plugin.

Starting LiveBench

Each step performed in LiveBench had an intuitive prompt, and we could view the progress in a log window.

Log Window

Critical measurements like the coil current are measured in real time, then displayed in LiveBench.

Coil Current Measurements

Water is already in the pans, and the coils can heat the pans to a desired temperature. There were even three different pan sizes that could be heated. Parameters like voltage, current and power were being measured and reported.

Parameters Measured and Reported

This demonstration included a video feed, so that you could see the evaluation setup while it was operating.

Video Feed of Setup

Questions about the board setup were typed into a chatbot window called the Knowledge Assistant, and then a reply was auto-generated, saving time from having to lookup details in the documentation.

Chatbot

Only one user at a time is allowed for this specific evaluation board, and there’s a reservation system to request a time slot. For safety purposes, each evaluation board has features built-in so that no fires can be started, and the electronics cannot be over-stressed beyond normal operating regions. There’s a rigorous quality plan for each evaluation board. TenXer provides the necessary maintenance for each of their LiveBench setups, like refilling with water, making sure that batteries are charged, etc.

The second live demo was for a 3D gesture control board with multiple capacitive proximity sensors to detect hand gestures by moving a robotic arm.

Renesas setup – 3D gestures

A vision detection system using the Sitara processor from TI was also demonstrated. Users can choose different object detection algorithms, choose tasks, select test images, then run their tests in real time to measure the effectiveness and efficiency. You can plot the model statistics, verify if your algorithm is working well, and measure the detection rates.

TI setup using the AM62A Sitara processor

TenXer has evaluation boards all setup from several vendors so far:

  • Analog Devices
  • Infineon
  • Micro Chip
  • Monolithic Power Systems
  • Murata Electronics
  • Renesas
  • SiTime
  • Texas Instruments

These labs that are accessible via LiveBench choices span several end-markets:

  • Power Management
  • Industrial Automation
  • IOT, Connectivity
  • Microprocessors & Microcontrollers
  • Edge AI
  • Clock and Timing

LiveBench has language support for English, Chinese, German and Japanese. All of the user manuals are included online for easy reference. Systems engineers can use LiveBench for free, while AEs that want to demonstrate the capabilities of their company devices do pay a fee to use TenXer.

New LiveBench systems are continuously being added as IC vendor demand drives adoption of this Lab on Cloud concept.

Summary

Systems engineers have always been challenged in how to best choose and evaluate kits from IC vendors. It takes engineering time and effort to evaluate new ICs. TenXer has built up an impressive number of LiveBench offerings in the cloud made available for free to systems engineers that have a web browser and Internet access. With this Lab On Cloud approach you can now remotely evaluate a wide range of ICs and sensors, saving time, money and effort.

Visit TenXer online and start trying out their LiveBench setups.

Related Blogs


Podcast EP230: An Overview of the Siemens EDA Calibre 3D Thermal Announcement at DAC with Dr. John Ferguson

Podcast EP230: An Overview of the Siemens EDA Calibre 3D Thermal Announcement at DAC with Dr. John Ferguson
by Daniel Nenni on 06-25-2024 at 8:00 am

Dan is joined by Dr. John Ferguson, senior director of marketing for the Calibre product line at Siemens EDA. John has worked extensively in physical design verification. Current activities include efforts to extend physical verification and PDK enablement for 3DIC design and silicon photonics.

Dan explores the Siemens EDA Calibre 3D Thermal announcement with John that was made during the conference.

John describes the significant new challenges that 3DIC design presents with regard to thermal analysis and modeling. He explains the unique approach that the new Calibre 3D Thermal takes and how it can be used to optimize many different types of designs.

He explores how to use the tool in a Siemens EDA design flow and how to interface the tool to other design flows as well.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.