SNPS1670747138 DAC 2025 800x100px HRes

Metamorphic Test in AMS. Innovation in Verification

Metamorphic Test in AMS. Innovation in Verification
by Bernard Murphy on 03-26-2025 at 6:00 am

Innovation New

We have talked about metamorphic testing before. Here is a clever application to testing an AMS subsystem. Paul Cunningham (GM, Verification at Cadence), Raúl Camposano (Silicon Catalyst, entrepreneur, former Synopsys CTO and lecturer at Stanford, EE292A) and I continue our series on research ideas. As always, feedback welcome.

The Innovation

This month’s pick is System Level Verification of Phase-Locked Loop using Metamorphic Relations and was published in the 2021 DATE conference. The authors are from the University of Bremen and the Johannes Kepler University in Austria. The paper has 5 citations.

A quick recap on metamorphic testing. In some cases it is difficult or impossible to construct a meaningful oracle against which test runs can be compared to find problems. Instead metamorphic testing compares simulation behavior between two or more different tests for which certain properties in simulation results are expected to remain the same (or close to the same). Let’s call these properties “invariants”. This method is especially interesting for AMS testing where oracles inside a circuit are hard to define.

The authors use this approach to test a production PLL – part analog and part digital – by defining invariants that should hold given the structure of that function. Through this testing they were able to find an uncommon but real case in which a production PLL can lock to the wrong frequency.

Paul’s view

Fun paper this month – metamorphic testing of analog circuits. Metamorphic Testing (MT) is testing that doesn’t need a golden reference model for the design being tested. It relies instead on validating that certain relationships hold true between two different executions of a design. A common example given is for testing design that implements the sin(x) function. One “metamorphic relation” (MR) for this function is that sin(x) = sin(180-x) for any value of x. So we can write a test that just runs the design with different values of x and 180-x and check the result is always the same. Simple concept with a lot of published works showing how powerful it can be to catch corner case bugs. Threadmill, which we blogged on last month, can be considered an MT system, since it runs multi-threaded programs many times and checked the behaviors are identical to try and catch concurrency related bugs.

This paper applies MT to a commercial PLL and finds a corner case bug where a small change in the PLL input clock frequency from 1MHz to 1.01MHz causes the phase locking feedback loop in the PLL to breakdown. The paper’s main contribution is a number of clever MRs for PLLs, one of which catches this real silicon bug. This MR states that if the input clock frequency is multiplied by some factor C, then the feedback loop clock frequency inside the PLL must also be multiplied by the same factor C. Another MR states that the locking time should be the same irrespective of the input clock frequency.

Short paper, easy read. A good motivator for us all to look at our DV suites and see if we missed writing assertions/properties that check if certain relationships hold across multiple tests, not only within a single test.

Raúl’s view

“Metamorphic” testing focuses on how a system transforms inputs rather than static input-output pairs. For example, to test a program implementing sin(x), one can use sin(x)=sin(180-x) as a “metamorphic relation”. Instead of checking the expected output for a concrete input, run the program for an input x1 and afterwards for the input x2=180-x1 and check that the program gives the same output in both cases, otherwise there is a bug. Metamorphic testing has been used for software; a major advantage of this technique is that no reference model/value is needed.

This month’s paper discusses the application of metamorphic testing to Analog/Mixed-Signal (AMS) systems, specifically focusing on the verification of Phase-Locked Loops (PLLs). The authors from the University of Bremen and Johannes Kepler University identify 8 metamorphic relations for PLLs, for example: The PLL stays in the locked state if the input frequency is varied inside the lock range, and the Lock Detector signal stays on. They applied these to an industrial PLL (from an industrial partner not named explicitly) coded in SystemC and simulated with COSIDE, and discovered a previously undetected rare but real case where the PLL could lock to the wrong frequency. The bug was related to a dead-zone effect in the Phase Frequency Detector (PFD), which was resolved by adding a delay element.

The paper is succinct and self-contained and is a pleasure to read. It gives a nice introduction to PLLs and metamorphic testing of AMS systems and shows the potential of metamorphic testing for AMS verification and its ability to uncover hard to find bugs.

Also Read:

Compute and Communications Perspectives on Automotive Trends

Bug Hunting in Multi Core Processors. Innovation in Verification

Embracing the Chiplet Journey: The Shift to Chiplet-Based Architectures


Webinar: RF board design flow examples for co-simulating active circuits

Webinar: RF board design flow examples for co-simulating active circuits
by Don Dingee on 03-25-2025 at 10:00 am

Mesh domain optimization

In part one of this webinar series, Keysight and Modelithics looked at the use of 3D passive vendor component models supporting highly accurate, automated 3D EM-circuit co-simulation of high-frequency RF board designs. Part two continues the exploration of RF board design flows for simulating active circuits on boards, again with accurate, parameterized Modelithics models with the appropriate versions driving simulations in Keysight EDA Advanced Design System (ADS), RFPro, and Genesys.

Watch the replay now: Accelerate Your Design Flows with Highly Accurate Simulation Models

Design efficiency depends on using purchasable part values in accurate simulations

In this webinar, Keysight features the use of Modelithics model libraries of vendor parts with RFPro in ADS to perform accurate EM-circuit co-simulation of RF boards, and Genesys RF circuit synthesis to automatically pick the optimal discrete purchasable vendor part values to meet performance requirements of circuits built on RF boards.

If you have ever simulated and optimized even a relatively simple circuit on an RF board design, you may have noticed that optimized component values are not available from any vendor. Designers must look up vendor parts catalogs to identify real-life purchasable components, substitute those in a circuit, re-simulate, and either live with the slightly changed results or redesign using different part values. It is a very inefficient workflow.

Genesys’ unique Vendor Parts Synthesis (VPS) utilizes the Modelithics COMPLETE Library for RF circuit synthesis. VPS starts with gradient optimization to obtain the optimal theoretical part values to meet specs, then switches to discrete grid search for the nearest purchasable real-life vendor part values and further optimizes for the best combination of upper or lower nearest discrete values to produce the best realizable results. Designers have accurate simulation results and a purchasable bill of materials ready when simulations complete, saving a tremendous amount of manual schematic adjustments.

Keysight ADS users can also employ gradient, followed by discrete optimization to obtain real-life-ready results with the Modelithics COMPLETE Library for Advanced Design System (ADS). Chris DeMartino, Applications Engineer at Modelithics, conveys a simple nonlinear component example – a model for and simulation of an Infineon BAS70 nonlinear Schottky diode in a 2.45 GHz detector circuit. Their measurement-based model of the diode delivers highly accurate simulations, as shown by the match in DC output voltage between simulated (red trace) and measured (blue Xs) results.

DeMartino provides detailed examples with Skyworks diodes and Mini-Circuits LNAs in his discussion on making EM-circuit co-simulation as easy as circuit analysis with ADS and RFPro.

Exploring what is possible with models for nonlinear components

Martin Trossing, Customer Success Manager for EDA at Keysight, builds on an example in ADS used in part one to illustrate 3D component spacing, but in this session emphasizes nonlinear behavior. His demonstration creates accurate simulations of amplifiers (LNA and PA) with EM-circuit co-simulation of the physical layout and Modelithics nonlinear component models.

Comprehensive evaluation of linear and nonlinear stability of amplifiers is easy with the Winslow stability analysis in ADS. One Winslow stability analysis with one schematic (no manual probe setups) replaces 14 separate traditional stability analyses, producing all results simultaneously.

After amplifier instabilities are detected, EM-circuit co-simulation and visualization can troubleshoot the physical locations and frequencies where undesired feedback occurs. This workflow enables amplifier designers to fix issues and eliminate multiple hardware re-spins.

Stepping through component models and RF board simulation

ADS RFPro mesh domain optimization (MDO) makes EM-circuit co-simulation of any chosen RF paths comprising layout traces and circuit models easy. It eliminates tedious traditional “cookie-cutting” of the layout for localized EM simulation, followed by manual connection of EM S-parameter ports to circuit nodes for EM-circuit analysis.

The Modelithics COMPLETE Library family contains over 28,000 passive and active components, accelerating EM-circuit co-simulations in Keysight EDA platforms and smoothly connecting designs to real-world component availability. More details and discussion follow in the RF board design flow webinar, and registration is open now:

Watch the replay now: Accelerate Your Design Flows with Highly Accurate Simulation Models

Also Read:

Webinar: RF design success hinges on enhanced models and accurate simulation

Chiplets-Based Systems: Keysight’s Role in Design, Testing, and Data Management

Crosstalk, 2kAmp power delivery, PAM4, and LPDDR5 analysis at DesignCon


Ceva-XC21 and Ceva-XC23 DSPs: Advancing Wireless and Edge AI Processing

Ceva-XC21 and Ceva-XC23 DSPs: Advancing Wireless and Edge AI Processing
by Kalar Rajendiran on 03-25-2025 at 6:00 am

Cellular Evolution

Ceva recently unveiled its XC21 and XC23 DSP cores, designed to revolutionize wireless communications and edge AI processing. These new offerings build upon the Ceva-XC20 architecture, delivering unmatched efficiency, scalability, and performance for 5G-Advanced, pre-6G, and smart edge applications. As demand grows for low-power, high-performance DSPs, Ceva’s latest innovations provide future-proof solutions tailored for a broad spectrum of industries.

Architectural Highlights

Both the Ceva-XC21 and Ceva-XC23 leverage the Ceva-XC20 architecture, providing a scalable, multi-threaded processing framework optimized for cost, power, and performance. The Ceva-XC21 offers best-in-class performance per area, ensuring multi-protocol support and LTE/5G compatibility, while the Ceva-XC23 delivers higher processing power to meet the demands of next-generation cellular and satellite communications. Additionally, the software compatibility across all Ceva-XC20 DSPs and legacy XC4500 ensures seamless migration and future scalability.

Highlights of Ceva-XC21 and Ceva-XC23 DSPs

The Ceva-XC21 DSP family introduces three advanced vector DSPs: the XC210, XC211, and XC212, each offering significant improvements in area efficiency, power consumption, and performance. These DSPs are optimized for cost-sensitive and size-constrained applications, such as IoT UE (eRedCap, RedCap, CAT M, CAT1, CAT4) and 5G Non-Terrestrial Networks (NTN) terminals. The Ceva-XC212, in particular, delivers up to a 180% performance of XC4500 with a 12% area reduction, making it a high-efficiency solution for 5G-Advanced processing.

On the other hand, the Ceva-XC23 DSP is tailored for high-end applications, including infrastructure (RAN), High Power User Equipment (HPUE), Fixed Wireless Access (FWA) and satellite communications (SATCOM). It boasts a 2.4X performance improvement, AI support, high-precision acceleration, and achieves speeds of up to 1.8GHz on TSMC’s 5nm process. With its ability to handle complex communication workloads, the XC23 has already been licensed by two Tier-1 OEMs for 5G-Advanced and pre-6G deployments.

Future-Proofing

Ceva’s XC21 and XC23 leverage the Software-Defined Radio (SDR) capabilities of the XC20 architecture, allowing seamless adaptation to evolving wireless standards via software updates. Their modular and configurable nature enables customers to tailor DSP performance, ensuring longevity and scalability in an era of rapidly advancing technology. The enhanced AI capabilities also support next-generation AI-driven signal processing and edge computing, making them highly adaptable for future innovations.

Built-In AI and ML Acceleration

The integration of AI and machine learning (ML) capabilities is another standout feature of the XC23 and XC21 processors. They come with AI capabilities for modem and communications. Tasks such as channel estimation and noise filtering are traditional handled by DSP algorithms but can be supported more efficiently with the AI capabilities that come with the XC23 and XC21 processors.

Infrastructure Market Trends

The global RAN market remains strong, generating about USD 35-40 billion annually. The deployment of 5G-Advanced is accelerating, enabling enhanced connectivity and expanded network capabilities. The growth of private and industrial networks is further driving demand for customized private 5G solutions. Meanwhile, research and development for 6G technology is progressing, with commercial deployment expected by 2030. The rising network data traffic, projected to triple by 2030, necessitates advanced spectrum efficiency and infrastructure enhancements. Additionally, spectrum expansion efforts are exploring 7 GHz to 24 GHz frequency bands to accommodate future connectivity needs. The 6G market is forecasted to reach USD 68 billion by 2035, growing at an impressive CAGR of 76.9% from 2030 to 2035.

SATCOM Market – The New Space Race

The SATCOM industry is undergoing a paradigm shift, transitioning from proprietary technologies to 3GPP-compliant 5G NTN. Ceva is at the forefront of this transformation, powering Satellite 5G Base Stations that enable global coverage for consumer and industrial applications. Additionally, Ceva supports OEMs and satellite operators in user terminals, ground gateways, and satellite communication payloads. These solutions are critical as satellite communications become increasingly integrated with terrestrial 5G networks, expanding the reach of wireless connectivity.

Ceva’s Position in the Market

Ceva’s technology spans the entire cellular ecosystem, supporting applications in infrastructure, smartphones, and IoT. The company’s 5G RAN architectures extend across base stations, disaggregated DU/RU, Active Antenna Units (AAU), small cells, vRAN, Open-RAN, backhaul, and fronthaul solutions. Ceva also plays a critical role in the 5G smartphone industry, providing optimized DSP platforms and baseband modem solutions. Furthermore, in cellular IoT, Ceva delivers cutting-edge DSPs for RedCap, eRedCap, Cellular V2X, Industrial IoT, Fixed Wireless Access (FWA), and 5G Satellite connectivity.

Summary

With the Ceva-XC21 and Ceva-XC23 DSP families, Ceva continues to push the boundaries of performance, efficiency, and AI-driven processing in next-generation wireless communication and smart edge applications. By building on the scalable and future-proof Ceva-XC20 architecture, these offerings provide best-in-class solutions for 5G-Advanced, pre-6G, cellular IoT, and SATCOM. As the industry moves toward 6G, Ceva seems well-positioned to drive innovation in connectivity, AI acceleration, and advanced network infrastructure.

To learn more, visit the respective product pages below.

Ceva-XC21 page

Ceva-XC23 page

Also Read:

AI PC momentum building with business adoption anticipated

Bluetooth 6.0 Channel Sounding is Here

Spatial audio concepts targeted for earbuds and soundbars


CEO Interview with Brad Booth of NLM Photonics

CEO Interview with Brad Booth of NLM Photonics
by Daniel Nenni on 03-24-2025 at 10:00 am

Brad Booth

Brad Booth, CEO of NLM Photonics, is a distinguished technology strategy and development leader, and influential in industry consortia and standardization. Prior to NLM, Booth served at Meta Platforms and Microsoft Azure, where he focused on developing next-generation optical connectivity solutions for Cloud and AI data centers. Previously, he worked at Dell, Intel, and Bell-Northern Research. Booth led the formation of the Ultra Ethernet Consortium, the Ethernet Technology Consortium, the Consortium for On-Board Optics, and the Ethernet Alliance. He is well-known in the networking industry and has received awards for his contributions to the industry and networking standards.

Tell us about your company?

NLM Photonics is working to change the trajectory of the photonics industry using groundbreaking hybrid organic electro-optic (OEO) materials. The photonics industry does not have an analogue to Moore’s Law in the electronics industry: For us, as bandwidth increases, so does power consumption. NLM focuses on shifting the power curve down by up to 50%.

What problems are you solving?

One of the most critical problems today is the power demand associated with AI data centers. Network power demands for AI data centers can be more than double that of traditional data centers. Photonics account for 70 percent of network power consumption; almost a third of an AI data center’s total power. NLM’s target is to cut photonics power consumption by up to 50 percent, which will have a significant impact on data center power efficiency.

What application areas are your strongest?

Energy efficient modulation. Power consumption and frequency of modulation are directly impacted by the losses inherent in the modulator. Use an inefficient or high-loss modulator, and you have to correct that by burning more power. NLM’s energy-efficient modulation has gained traction in the photonics industry for datacom, telecom, and quantum applications, plus in the mmWave industry.

What keeps your customers up at night?

Customers across this industry are concerned about how to stay competitive on bandwidth capabilities while fitting within their power limitations. Whether they’re considering pluggable optics, co-packaged optics, or optical I/O, the challenges are complex. OEO materials, like NLM’s Selerion-HTX, can provide a path to address those limitations by offering increased bandwidth for significantly less power than competing technologies.

What does the competitive landscape look like and how do you differentiate?

Many incumbent technologies in the photonics industry are now being challenged by both inorganic and organic technologies. What I like about NLM’s technology is that we’re agnostic to the photonics platform, and there’s no disruption to the wafer development. And more importantly, NLM’s technology is designed for high thermal stability to make it suitable for high-volume manufacturing.

What new features/technology are you working on?

NLM Photonics continues to develop new materials, processes, and devices to tune performance, improve modulation efficiency, and accelerate the manufacturing process. Our forthcoming additions to the Selerion family of OEO materials will further redefine the boundaries of photonics performance. We look forward to sharing more on those developments in the near future.

How do customers normally engage with your company?

NLM’s customers engage with us directly today. We foresee that model will continue as we work to develop an ecosystem. Over time, our goal is to have our technology be ubiquitous throughout the semiconductor industry, enabling those in the industry to easily access NLM’s technologies for their developments and devices. If you’re a fabricator interested in partnering with us, connect with me on LinkedIn; I’d love to talk with you about the future of photonics.

Also Read:

CEO Interview with Jonas Sundqvist of AlixLabs

2025 Outlook with James Cannings QPT Limited

CEO Interview with Dr. Thang Tran of Simplex Micro


Going Beyond DRC Clean with Calibre DE

Going Beyond DRC Clean with Calibre DE
by Mike Gianfagna on 03-24-2025 at 6:00 am

Going Beyond DRC Clean with Calibre DE

For advanced semiconductor designs, achieving both design rule check clean layouts and optimal electrical performance is crucial for minimizing design iterations, reducing time-to-market and ensuring product reliability. Balancing electrical performance and layout integrity is a difficult task. Achieving an optimal and balanced solution demands deep technical understanding of all the nuances and impact of each set of requirements. There are often unexpected interactions at play. Achieving a result that satisfies all requirements can result in an overly pessimistic design. Pushing the envelope in the other direction can result in a non-functional design.

Siemens Digital Industries Software recently published a comprehensive technical paper on these challenges. It turns out Calibre DesignEnhancer (DE) possesses the required deep understanding of the technology requirements and interactions at play. The product delivers an analysis-based, signoff-quality layout modifying EMIR solution that enhances power integrity and reduces IR drop. This results in improved design reliability and manufacturability across multiple foundry technologies, reduced support costs and increased usability for foundries, CAD teams, and designers. The technical paper gets into substantial detail on how Calibre DE accomplishes this. There are also detailed use cases from Google and Intel. A download link is coming but first let’s explore going beyond DRC clean with Calibre DE.

About the Technical Paper

I find it interesting that Google and Intel are cited side-by-side in this piece. It wasn’t that long ago that Intel would never disclose anything about its design capability and Google would really have nothing to say about chip design. It seems that semiconductor companies are becoming system companies and system companies are becoming semiconductor companies. And so, we move forward.

Jeff Wilson

The technical paper is entitled How Google and Intel use Calibre DesignEnhancer to reduce IR drop and improve reliability. The author is Jeff Wilson. Jeff is a product management director for DFM applications in the Calibre organization at Siemens Digital Industries Software. He is responsible for the development of products that analyze and modify the layout to improve the robustness and quality of the design. Before joining Siemens, Jeff worked at Motorola and SCS. He holds a B.Sc. in design engineering from Brigham Young University and an MBA from the University of Oregon.

About Calibre DE

Foundries face many challenges with each new technology, like the need for new analysis and qualified DRC/LVS decks. Calibre DE addresses this challenge by reading the relevant data from DRC rules file, then using its built-in expertise with SVRF commands to create a deck that modifies the layout to solve identified EMIR problems. These files create a design kit that includes specific DRC values from the foundry/IDM.

At the core of all this is Calibre’s deep understanding of design rules. One example use case is adding DCAP and filler cells after P&R has completed power, performance and area iterations. This can be tricky since P&R tools are not good at filling open spaces with very specific design rules. If you run PV on a medium to large design with DCAP and filler cells inserted by a P&R tool, runtime can exceed 10 hours. Calibre DE Pvr (physical verification ready) flow uses the world’s best correct-by-construction fill tool, SmartFill, to place DCAP and filler cells. The runtime for this approach will take ~1 hour, delivering much better results.

Another example is the challenge of ensuring that designs are free from electrical violations like IR drop. This is getting more important at advanced nodes. Calibre DE Pge (power grid enhancement) and Calibre DE Via (via insertion) are tools that optimize the power delivery network and reduce the impact of IR drop, improving electromigration/IR drop and overall yield. By using these tools, design teams can minimize the risks of power failure and other integrity issues that affect product performance.

The technical paper gets into lots of details about these capabilities and more. You can also access a lot of detailed information on Calibre DesignEnhancer here. Let’s now take a brief look at what Google and Intel found. This information was taken from recent conference presentations from both companies.

Google’s Experience

Google’s goal was to reduce IR drop at 3 nm. The Google team found that finding IR drop issues at the chip finishing stage was particularly challenging and that conventional solutions came with unfortunate consequences:

  • Derating means decreased speed.
  • Changing floorplan or re-designing the power distribution network (PDN) means additional design cycles.
  • Fixing the PDN becomes very complicated and ineffective due to the huge increase in DRC rules, especially if attempted manually or using conventional tools.
Google flow incorporating Calibre DesignEnhancer during the chip finishing stage

Google used Calibre DE via insertion to improve IR drop with little or no timing impact, and Calibre DE power grid enhancement to improve the power grid by creating parallel run lengths. They used the EMIR results to focus layout modifications on design areas where the power grid needed to be enhanced. They also used built-in functionality to limit edits around critical nets and establish priorities for the power signals.

There is a lot more detail on what Google found in the technical paper. You will definitely want to review this data. The figure on the right shows what Google’s flow looks like.

Intel’s Experience

Intel’s goal was to improve power grid robustness at 5nm and beyond. The Intel team had created a PDN during automated floorplanning but found corner cases that prevented some via hookups. The result was a weak power grid and inadequate power hookups that caused inaccurate electrical modeling.

The team provided several nets that needed additional via hookups for Calibre DE Via to work on to maximize the number of vias to reduce IR drop issues. The P&R team did their job based on their understanding of the design rules. They were forced to take a conservative approach to the rules. Using Calibre DE, the P&R team was able to insert an additional 9 million vias on the nets that they specified on the 5 nm process node. These additions were very targeted as shown in the figure below.

Via counts per net

By leveraging Calibre DE’s detailed understanding of via-related DRC rules—such as spacing, width and width-dependent rules—Intel was able to insert the additional vias without introducing DRC violations. This significant increase in vias had a measurable impact on IR drop, improving both electrical performance and yield. More details of Intel’s experiences are provided in the publication.

To Learn More

I have just scratched the surface of what is discussed in the new Siemens Digital Industries technical paper, How Google and Intel use Calibre DesignEnhancer to reduce IR drop and improve reliability. If balancing electrical performance and layout integrity at advanced nodes is giving you a headache, you will definitely want to read this paper. You can download your copy here.  And you can learn more about the family of Calibre DE products here.  All this will help you understand going beyond DRC clean with Calibre DE.

Also Read:

Siemens Fleshes out More of their AI in Verification Story

Speeding Up Physical Design Verification for AMS Designs

Unlocking the cloud: A new era for post-tapeout flow for semiconductor manufacturing


Podcast EP278: Details of This Year’s Semiconductor Startups Contest with Silicon Catalyst’s Nick Kepler

Podcast EP278: Details of This Year’s Semiconductor Startups Contest with Silicon Catalyst’s Nick Kepler
by Daniel Nenni on 03-21-2025 at 10:00 am

Dan is joined by Nick Kepler, COO and Director at Silicon Catalyst. Nick has over 30 years of experience in the semiconductor industry, with varied leadership and technology management roles including semiconductor process technology development and manufacturing, design enablement, technical program management, and customer-facing marketing and technical sales.

Nick describes the details of Silicon Catalyst’s third Semiconductor Startups Contest with Dan. The contest is opening today and like prior events is co-sponsored by Arm. Nick explains the history and goals of the event, along with a description of prior winners. He describes the prizes of the current contest, which are substantial and include $150K – $250K of prize money along with admission to the Arm Flexible Access program which includes try before you buy access to Arm IP, tools training, support and simpler legal agreements.

Dan also explores the details of how and when to apply to the contest and when results will be announced with Nick. Details about the contest can be found here, and you can submit an application here.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.


CEO Interview with Jonas Sundqvist of AlixLabs

CEO Interview with Jonas Sundqvist of AlixLabs
by Daniel Nenni on 03-21-2025 at 6:00 am

04 AlixLabs portrait 211116

Jonas Sundqvist received his PhD in inorganic chemistry from Uppsala University, Department for Materials Chemistry at The Ångström Laboratory in 2003 where he developed ALD and CVD processes for metal oxide ALD and CVD processes using metal iodides. Jonas is in charge of the daily business at AlixLabs – as a co-founder, he’s been with the company since day one in 2019.

Tell us about your company?
AlixLabs is the world’s only pure-play Atomic Layer Etch (ALE) equipment company, pioneering a breakthrough technique called APS (ALE Pitch Splitting). Our technology enables precise, atomic-scale feature definition in semiconductor manufacturing, helping chipmakers achieve critical dimensions below 10 nm at dense line pitch. By reducing the number of process steps in advanced patterning, we offer a more cost-effective and sustainable alternative to multi-patterning and extreme ultraviolet (EUV) lithography.

What problems are you solving?
We address the growing challenges in semiconductor manufacturing as the industry continues to scale down feature sizes. Traditional multi-patterning approaches introduce complexity, cost, and yield loss. APS streamlines the patterning process, reducing lithography steps, improving throughput, and lowering costs by up to 40% per multi patterning mask layer. Additionally, our solution minimizes energy and material consumption, supporting a more sustainable semiconductor industry.

What application areas are your strongest?
Our APS technology is particularly valuable for advanced logic (leading-edge node processors and GPUs) and DRAM memory. Our focus is on high-volume leading edge 300 mm semiconductor manufacturing, where reducing cost and improving yield are critical.

What keeps your customers up at night?
Chipmakers are constantly seeking ways to improve yield, reduce costs, and extend Moore’s Law. The increasing complexity of patterning techniques, rising lithography costs, and sustainability concerns around energy and material use are major challenges. Our APS technology directly addresses these pain points by simplifying manufacturing, lowering cost per wafer, and improving process efficiency and limiting the use of fluorinated gases with high GWP and PFAS issues.

What does the competitive landscape look like and how do you differentiate?
The advanced patterning landscape is dominated by EUV lithography and multi-patterning techniques. Competitors include optical lithography equipment provider ASML and alternatives like Canon’s and EVG’s Nano Imprint Lithography and companies offering complex self-aligned multi-patterning solutions. AlixLabs differentiates itself by providing a complementary or alternative solution that significantly reduces the reliance on costly lithography steps. APS enables manufacturers to scale down features without the added process complexity and cost burden of traditional patterning methods.

What new features/technology are you working on?
We are continuously refining our APS process for even finer feature scaling and expanding our compatibility with additional wafer sizes and materials. Our R&D team is focused on optimizing APS for future semiconductor nodes and integrating it with emerging process flows to enhance manufacturability, yield, and sustainability.

How do customers normally engage with your company?
Our customers typically engage with us through early-stage evaluations and process development collaborations. We work closely with leading semiconductor manufacturers, foundries, and research institutes to qualify and integrate APS into their production workflows. Engagements range from feasibility studies and we are developing a Beta tool (RFP 3Q2025) for early pilot design verification and pilot production to be followed by full-scale implementation for high-volume manufacturing in 2027-2029.

Also Read:

CEO Interview with Dr. Thang Tran of Simplex Micro

CEO Interview with Fabrizio Del Maffeo of Axelera AI

CEO Interview with Dinesh Bettadapur of Irresistible Materials


Cut Defects, Not Yield: Outlier Detection with ML Precision

Cut Defects, Not Yield: Outlier Detection with ML Precision
by Kalar Rajendiran on 03-20-2025 at 10:00 am

Part Average Testing

How much perfectly good silicon is being discarded in the quest for reliability? During high-volume chip manufacturing, aggressive testing with strict thresholds may ensure quality but reduces yield, discarding marginal chips that could function flawlessly. On the other hand, prioritizing yield risks allowing defective chips into the field, leading to costly return merchandise authorizations (RMAs), system failures, or Silent Data Corruption (SDC). SDC, in particular, is a stealthy threat that causes undetected faults to propagate through the system, leading to catastrophic outcomes. So how do you strike the balance between rigorous error detection while maintaining yield?

Conventional methods like Part Average Testing (PAT) or Good Die in a Bad Neighborhood (GDBN) rely on statistical analysis to improve quality but are limited in granularity. They miss subtle defects while discarding healthy chips, exacerbating the quality-yield tradeoff. Thus, chipmakers have historically faced the tricky challenge of improving quality while preserving yield. Striking this balance is essential for industries like automotive and data centers, where reliability and performance are critical.

Chipmakers can now overcome this tricky challenge using proteanTecs’ outlier detection solution. proteanTecs recently published a whitepaper that discusses the details of this solution. 

proteanTecs Solution: A Paradigm Shift in Outlier Detection

proteanTecs Outlier Detection redefines semiconductor testing by integrating telemetry-based data analytics and machine learning (ML) to detect latent defects early, even at the wafer sort stage. Embedded Agents in chips collect parametric data, which proteanTecs analyzes using advanced algorithms. These models predict normal chip behavior and identify anomalies that traditional pass/fail tests cannot detect.

proteanTecs’ solution includes edge software deployed on testers and a cloud-based analytics platform. The edge software compares predicted behavior with real-time test data, flagging outliers for further review or discard before chips progress down the production line. Additionally, the software provides resiliency to prevent production drift using both local and distribution-based drift detection mechanisms.”

IDDQ Prediction-Based Detection

This method identifies leakage current anomalies at the transistor level, targeting defects invisible to traditional tests. proteanTecs combines design-profiling and process-classification data to train predictive ML models that estimate the expected IDDQ per chip. By comparing measured and predicted values during testing, latent defects are flagged and discarded. This approach not only prevents field failures but also reduces false positives that could unnecessarily lower yield.

Timing Margin-Based Detection

This method monitors timing issues at both the transistor and path levels. proteanTecs’ Margin Agents monitor timing margins across millions of IC logical paths, identifying subtle timing issues below conventional pass/fail thresholds. ML models trained on expected timing behavior detect deviations that signal potential field failures, such as timing faults or SDCs. Unlike tightening traditional test limits, this approach detects marginal defects without compromising yield.

How proteanTecs’ Outlier Detection Stands Apart

Traditional Best-Known Methods (BKMs) rely on statistical population analysis and stricter thresholds, leading to blind yield losses and missed defects. proteanTecs surpasses these limitations by leveraging dedicated on-chip Agents and predictive analytics. Its solutions operate beyond pass/fail metrics, detecting anomalies with unmatched granularity and precision. By integrating machine learning with hardware telemetry, proteanTecs enables manufacturers to meet stringent quality standards without compromising yield, delivering a dual benefit previously considered unattainable.

Benefits of proteanTecs’ Solution

proteanTecs enhances quality assurance by isolating chips with latent defects, ensuring higher reliability and performance while minimizing the risk of defective chips reaching consumers. By distinguishing true defects from benign variances, it improves yield, enabling the recovery of chips that would otherwise be discarded. This reduces waste and boosts productivity. Early detection of latent defects also prevents costly returns (RMAs) and silent data corruption (SDC), ensuring system reliability throughout the product lifecycle. Addressing issues at the Wafer Sort stage saves time and resources, reducing downstream testing, rework and packaging costs.

proteanTecs’ cloud-based platform aggregates data from chips, wafers, and lots, enabling comprehensive analysis across test stages. With advanced visualization tools, historical data storage, and real-time insights, it supports root cause analysis and proactive decision-making. Additionally, proteanTecs’ machine learning algorithms continuously refine their models, adapting to evolving manufacturing processes and ensuring ongoing detection accuracy in dynamic environments.

Tangible Proof Points from Customers

Automotive: Over time, automotive electronic systems have become the most complex element of vehicle architecture. Software in today’s cars can contain more than 100 million lines of code. Reliable implementation of these advanced technologies must meet stringent zero-downtime requirements, while accommodating unpredictable environmental and operational conditions. One manufacturer reduced Defective Parts Per Million (DPPM) by 396 using proteanTecs’ IDDQ-based detection, saving over $250,000 in testing and packaging costs. HTOL testing validated the effectiveness, with 70% of flagged outliers failing stress tests.  Read case study here: Automotive Chipmaker Slashes DPPM With ML-Powered Outlier Detection.

Data Centers: As datacenters scale to accommodate the requirements for AI applications, the demand for reliable and high-performance semiconductors increases. Semiconductor reliability is essential to maintain the uninterrupted performance of critical systems that require continuous and real-time operation. A networking chipmaker decreased DPPM by 252, avoiding latent defects that could snowball into RMAs. This early detection saved over $1,000,000 by eliminating late-stage failures and system disruptions. Read more here in our white paper: Redefining RAS in Datacenters with Real-Time Health Monitoring White Paper

Real-Time Analytics for Reliability and Cost Efficiency

proteanTecs represents a new era in semiconductor testing, combining real-time analytics, adaptive learning, and actionable insights. Its transformative approach is imperative for industries where reliability and performance cannot be traded off. By addressing the root causes of chip failures and slashing DPPM rates, proteanTecs empowers manufacturers to exceed customer expectations while reducing operational costs.

For chipmakers navigating stringent quality and yield demands, proteanTecs’ outlier detection solution is a necessity for staying competitive in a precision-driven market.

Access the whitepaper from here: “Cut Defects, Not Yield: Outlier Detection with ML Precision”

Also Read:

2025 Outlook with Uzi Baruch of proteanTecs

Datacenter Chipmaker Achieves Power Reduction With proteanTecs AVS Pro

Podcast EP214: The Broad Impact of proteanTecs with Noam Brousard


Variable Cell Height Track Pitch Scaling Beyond Lithography

Variable Cell Height Track Pitch Scaling Beyond Lithography
by Fred Chen on 03-20-2025 at 6:00 am

Fred Chen Litho 1

Two approaches compared

With half-pitch approaching 10 nm, EUV patterning is heavily impacted by stochastic effects, which are aggravated from reduced image contrast from electron blur [1]. A two-mask (“LELE”: Litho-Etch-Litho-Etch) approach was proposed to pattern core features for self-aligned double patterning (SADP) to get to design rules expected for 2nm node [2], in order to handle the wide and narrow linewidths of the 6-track cell. However, this LELE patterning still suffers from sensitivity to overlay due to two masks being used. In this article, we look at two approaches which use one mask, which can be applied to any number of tracks.

Multiple Deposition-Etch

The multiple deposition-etch approach is described in the expired patent US10325777 [3]. As shown in Figure 1, it is basically a sequence of iterations of deposition followed by etch. Each iteration produces a sidewall spacer adjacent to a sidewall spacer from the previous iteration. The number of iterations depends on the largest cell height. In Figure 1 it is the 8-track cell toward the right. Six deposition-etch iterations are required.

Figure 1. Multiple deposition-etch approach. Each deposition-etch iteration adds two spacers to each originally standing wide feature.

A couple of important comments need to be made. First, it should go without saying that etching the purple material must be highly selective against the gray and cyan materials, and the etching of the gray material must be highly selective against the cyan materials. Likewise, the final etch of the cyan substrate layer should be sufficiently selective against the purple material as the etch mask.

The second point is that the etch profiles of the etched spacers are not expected to be rectangular. The top of the spacer is expected to be etched more at the outward exposed side, leading to a horn-like shape from asymmetric sidewall erosion. For a few iterations, this may not be too much of a concern, but with several or more iterations, the danger is that the last few spacers are moved from their target positions from the accumulated sidewall erosions. Thus, an alternative approach we consider next may be helpful.

Multilayer-Spacer

The multiple-layer spacer approach is described in the expired patents US6300221 [4] and US7919413 [5]. Rather than iteratively alternating deposition and etch, all the layer depositions are done at once conformally, such as with atomic layer deposition (ALD); then all the etching is done (Figure 2). The etching after spacer multilayer deposition has also been demonstrated after gate patterning [6].

Figure 2. Multilayer spacer approach. Note that the starting wide feature height needs to be sufficiently tall.

This approach avoids the horn-like appearance since there is no asymmetry from the outward facing spacer corner; each spacer top is equally surrounded on both sides. Consequently, the position of each spacer doesn’t change. On the other hand, this approach does require the starting features to be sufficiently tall so that enough alternating spacers fit in between with the conformal deposition. In the case of Figure 2, the initial features need to exceed 7 spacer layers in height. Similar to the case of the multiple deposition-etch approach above, this is linked to the largest cell height determining the required number of alternating spacer material layers.

The Ultimate Pitch Reduction Booster

If we take the spacer thickness to be 10 nm, then the minimum lithographic pitch for the structure of Figures 1 and 2 is 120 nm, for the 6-track cell on the left. The pitch for the 8-track cell on the right is 160 nm. Thus, pitch reductions of 6x and 8x respectively are shown by these approaches. The required lithographic pitch is easily achievable with an ArF immersion scanner with a numerical aperture of 1.2 or higher. Thus, both the multiple deposition-etch and multilayer spacer approaches above are extremely effective scaling boosters going beyond lithography in reducing pitch.

References

[1] F. Chen, A Realistic Electron Blur Function Shape for EUV Resist Modeling.

[2] F. Chen, Rethinking Multipatterning for 2nm Node.

[3] US10325777, filed by IBM.

[4] US6300221, assigned to Intel.

[5] US7919413, assigned to ITRI.

[6] C-J Weng, Microel. Rel. 50, 1951 (2010).

Pledge your support

Also Read:

A Realistic Electron Blur Function Shape for EUV Resist Modeling

Powering the Future: How Engineered Substrates and Material Innovation Drive the Semiconductor Revolution

Rethinking Multipatterning for 2nm Node


2025 Outlook with James Cannings QPT Limited

2025 Outlook with James Cannings QPT Limited
by Daniel Nenni on 03-19-2025 at 10:00 am

James2

Tell us a little bit about yourself and your company.
I’m James Cannings, the Executive Chairman of QPT. QPT is a power electronics start-up with a vision to reduce global electricity consumption by 5%, saving billions and moving the needle on the Net Zero challenge. Electric motors use up to 50% of the world’s electricity and our innovations allow these motors up to be driven up to 10% more efficiently.

Most electric motors are driven by power electronics using silicon-based transistors but these have a limit to how fast they can be switched and drive the motors with a low-frequency PWM signal that causes further losses in the motor. QPT enables the development of high-frequency (1MHz) Gallium Nitride (GaN)-based motor drives with an integrated, very low loss, sine wave filter. This means a motor drive that is up to 20x smaller, reducing up to 80% of the losses and driving the electric motor with a smooth sine wave, further increasing motor efficiency, reducing noise, vibration and harshness and significantly reducing system costs.

What was the most exciting high point of 2024 for your company?

In 2024, we announced the development of the world’s first 1MHz GaN-based 7.5KW motor drive with our lead customer ABB, one of the world’s largest suppliers of industrial electric motors. Since the motor drives market has effectively plateaued in terms of the underlying efficiency of the silicon-based drives for over a decade (with some progress being made with silicon carbide in the EV market) this project generated a huge influx of interest in the business.

As a small start-up, we have been directly approached by almost all of the major global motor and drive companies, a number of the major automotive companies, as well as several tier 1 suppliers of EV drivetrains. Interest from the automotive sector increased further when we won the Innovate UK ARMD3 grant to develop a GaN-based 75KW inverter for Cenex 2025.

What was the biggest challenge your company faced in 2024?

As a deep tech hardware company solving very complex challenges (this is our 5th year of R & D) funding is an ongoing challenge, especially whilst VCs and other investors are drawn to AI-based investments which can offer faster time-to-market and potential exits. As we are initially targeting industrial markets, investors understand that these are sectors that can take a long time to deliver products to market.

How is your company’s work addressing this biggest challenge?

The work with ABB helped to reposition the company as having overcome the major R & D challenges that come with hard-switching GaN transistors at 1ns, coping with the thermal and EM challenges which are so critical to solve at high-frequency, which is the only viable route to small, efficient, sine wave motor drives. As well as demonstrating that we were into a more traditional engineering phase for the business, filing key patents (like qAttach) also allowed us to start licensing discussions with key partners. This has the double benefit of showing ABB (and other potential motor drive companies) that there is a commercial route to market for them with our IP, but also shows investors that there are faster routes to revenue for the business. qAttach, for example, has benefits for existing packaged GaN devices, beyond just the motor drives application.

What do you think the biggest growth area for 2025 will be, and why?

Once the data is released from the ABB project in Q1 2025, the question is how many other engagements and evaluations QPT can actually cope with, at the same time helping customers like ABB take the next-generation of GaN-based motor drives to market. The ABB project has created huge interest given the huge potential of GaN to significantly disrupt the motor drives market.

We already have the Innovate UK project to develop the 75KW EV demonstrator and we have a long line of companies wanting to engage. It would certainly be easy for a start-up to be stretched too thin! We are also now able to start licensing and partnership deals in order to help scale the building blocks of our IP. There is a lot of interest in this area too and we’ll need to pick our engagements wisely.

How is your company’s work addressing this growth?

For 2025 it will simply be a case of picking our strategic partners carefully and staying very focussed on our mission to avoid over committing. We expect significant funding opportunities to unlock once the ABB project is complete and the benefits can be fully quantified. A more significant series A funding round in 2025 will help us to scale to meet the demands of the interested parties.

What conferences did you attend in 2024 and how was the traffic?

In 2024 QPT attended:

  • Hello Tomorrow (Paris)
  • CS International (Brussels)
  • Power Electronics International (Brussels)
  • PCIM (Nuremburg)
  • ISES EU Power Summit (Porto)
  • The Centre for Power Electronics Conference (CPE)
  • ISES US Power Summit (North Carolina)

I would probably have to pick PCIM as a highlight where we were invited to display on the Infineon stand as one of their key partners (we use Infineon GaN transistors in our solution). We were absolutely swamped on our stand for three days solid as people wanted to learn more about our 1MHz motor drive.

Will you attend conferences in 2025? Same or more?

Yes, most of the conferences listed above we’ll look to attend in 2025. We also plan to attend the Applied Power Electronics Conference (APEC) at the Georgia World Congress Center in Atlanta in March 2025. We’ll be on the Infineon stand if anyone wants to swing by and learn more.

How do customers engage with your company?

Potential customer and partners are welcome to email me directly (james@q-p-t.com). 2025 isn’t going to be a case of ramping up with hundreds of customers. But it’s going to be a very exciting year as, after over 5 years of R & D to solve the complex problems, we finally get to show the world the benefits of unleashing GaN to its full potential. Only high-frequency GaN can enable high efficiency motor drives with best-in-class power density and integrated sine wave filters to provide huge benefits to the motor. It’s an exciting time to be part of a truly transformative moment in power electronics.

Additional questions or final comments?

I don’t think so! Thanks for reading. If you’re involved in electric motor driven systems, please do get in touch!

Also Read:

2025 Outlook with Dr Josep Montanyà of Nanusens

CEO Interview: John Chang of Jmem Technology Co., Ltd.

CEO Interview: Dr. Zeynep Bayram of 35ELEMENTS