SITRI and Coventor Partner to Scale Up MEMS in China

SITRI and Coventor Partner to Scale Up MEMS in China
by Pawan Fangaria on 05-28-2015 at 12:00 pm

When it comes to wearable technology and the rapidly emerging world of IoT, sensors and MEMS are on the frontlines. They collect and transfer raw data such as pressure, temperature and motion and process it with algorithms critical to making sure the right information gets to humans and/or machines so the right reaction is enabled.… Read More


PDK Generation Needs Paradigm Shift

PDK Generation Needs Paradigm Shift
by Pawan Fangaria on 04-28-2015 at 4:00 pm

For any semiconductor technology node to be adopted in actual semiconductor designs, the very first step is to have a Process Design Kit (PDK) developed for that particular technology node and qualified through several design tools used in the design flow. The development of PDK has not been easy; it’s a tedious, time consuming,… Read More


Imec and Coventor Partner Up

Imec and Coventor Partner Up
by Paul McLellan on 10-28-2014 at 7:00 am

Today imec and Coventor announced a joint development project for 10nm and 7nm process development. Imec, which is in Leuven Belgium, is a partner with pretty much all the semiconductor companies that are planning work at these advanced nodes. It mostly does pre-competitive research and development. This type of research is … Read More


Proving the Power of Virtual Fabrication

Proving the Power of Virtual Fabrication
by Pawan Fangaria on 10-13-2014 at 7:00 am

There are many facets of our lives that are being driven to a more virtual method of doing things. This is largely due to issues such as scaling due to whatever reason – technical, business, economic. Let’s look at some general cases: In yesteryears people used to travel all the way for face-to-face meetings; today virtual meetings… Read More


Taking a leap forward from TCAD

Taking a leap forward from TCAD
by Pawan Fangaria on 07-26-2014 at 8:00 am

We all know that Technology Computer Aided Design (TCAD) simulations are essential in developing processes for semiconductor manufacturing. From the very nature of these simulations (involving physical structure and corresponding electrical characteristics of a transistor or device), they are predominantly finite-element… Read More


Virtual Fabrication: Not just for fabs. Fabless companies can benefit from more visibility into process technology

Virtual Fabrication: Not just for fabs. Fabless companies can benefit from more visibility into process technology
by Pawan Fangaria on 05-19-2014 at 7:30 pm

Ever since I started talking about Virtual Fabrication I have mostly looked at it from the manufacturers’ perspective, where it has obvious benefits to develop and model new process technology. But what about the fabless design concept and indeed even the semiconductor IP world that has spawned from it as well? It seems that Virtual… Read More


SEMulator3D 2014 – New Enhancements for Virtual Fabrication in the 3D IC Era

SEMulator3D 2014 – New Enhancements for Virtual Fabrication in the 3D IC Era
by Pawan Fangaria on 04-05-2014 at 7:30 am

A Virtual Platform for any kind of design or manufacturing in any discipline of science or engineering (electrical, mechanical, aeronautics etc.) must be able to provide an accurate representation of an actual design/product in a fraction of time and cost it takes to build working prototypes. In the case of semiconductors at … Read More


Evaluate MEMS Devices out-of-fab Before Fabrication

Evaluate MEMS Devices out-of-fab Before Fabrication
by Pawan Fangaria on 03-21-2014 at 10:30 am

MEMS design and fabrication is highly complex in the sense that the fabrication process heavily depends on the design, unlike IC fabrication which has a standard set of processes. A slight change in MEMS design can alter its fabrication steps to a large extent. For example, setting device parameters such as capacitance or linear… Read More


What will drive MEMS to drive I-o-T and I-o-P?

What will drive MEMS to drive I-o-T and I-o-P?
by Pawan Fangaria on 01-27-2014 at 5:45 am

By I-o-P, I mean Internet-of-People- I couldn’t think of anything better than this to describe a technology which becomes your custodian for everything you do; you may consider it as your good companion through life or an invariably controlling spy. This is obvious with the embedded sensor techno-products such as Kolibree, a … Read More


Quick MEMS Development Through Virtual Fabrication

Quick MEMS Development Through Virtual Fabrication
by Pawan Fangaria on 01-01-2014 at 7:00 am

The design and manufacture of MEMS is very different and in many ways more complex process than even the most advanced ICs. MEMS involve multiple degrees of freedom (i.e. the device to exhibit different characteristics under different physical state, motion or mechanics), making fabrication of MEMS extremely complex; and hence… Read More