Masterclass: Deploying Solido Design Environment AI Workflows on AWS

Masterclass: Deploying Solido Design Environment AI Workflows on AWS
by Admin on 04-16-2024 at 1:25 pm

Utilizing AWS cloud resources to accelerate variation-aware verification  

AI-powered Solido Design Environment provides SPICE-accurate variation-aware verification for 3, 4, 5, 6 and higher sigma targets, orders of magnitude faster than traditional brute-force methods. With cloud computing made more accessible than

Read More

CadenceCONNECT: Tech Days Europe 2024 – Dresden

CadenceCONNECT: Tech Days Europe 2024 – Dresden
by Admin on 04-15-2024 at 3:48 pm

Date: Tuesday, May 14, 2024

Venue: Hilton Dresden

Location: An der Frauenkirche 5, 01067 Dresden, Germany

Parking: There is parking at the hotel with a charge of €28 per day.

You will receive further information in your registration confirmation email.

Analog, RF, and Mixed-Signal IC Design

Learn how the latest developments

Read More

Webinar: Design, Simulate, and Validate Your Circuit with PSpice

Webinar: Design, Simulate, and Validate Your Circuit with PSpice
by Admin on 04-15-2024 at 3:21 pm

DATE: Wednesday, April 24

TIME: 8:00am PDT | 11:00am EDT | 4:00pm BST |  8:30pm IST

PSpice is a high-performance, industry-proven, mixed-signal simulator and waveform viewer for analog and mixed-signal circuits. As one of the most widely used mixed-mode circuit simulators with extensively available models from component… Read More


Webinar: Introductory Teaching of Antenna Design with Ansys HFSS

Webinar: Introductory Teaching of Antenna Design with Ansys HFSS
by Admin on 04-12-2024 at 2:32 pm

Helping students understand the underlying physics that connects antenna performance, array implementation, and prediction of its behavior is featured in this upcoming webinar on Ansys Electronics Desktop.

TIME:
JUNE 18, 2024
11 AM EDT / 5 PM CEST / 8:30 PM IST

Venue:
Virtual

Overview

Electromagnetic phenomena in antennas

Read More

Webinar: Thermal Solutions for Electronics Design

Webinar: Thermal Solutions for Electronics Design
by Admin on 04-12-2024 at 1:58 pm

Managing the thermal aspects of electronics to avoid excessive heat buildup has a direct impact on reliability. By conducting thorough thermal analysis early in the design processes, engineers can identify problematic hot spots and optimize the appropriate heat dissipation mechanisms to ensure components operate in an appropriate… Read More


Harmonizing Frequencies: Streamline MMIC Design with Intelligent Design Data Management

Harmonizing Frequencies: Streamline MMIC Design with Intelligent Design Data Management
by Admin on 04-11-2024 at 10:58 pm

In the fast-evolving world of monolithic microwave integrated circuit (MMIC) design, meeting higher-frequency requirements is just the beginning. Are you seeking insights on achieving dimensional accuracy for both analog and RF components? Wondering about the automatic synchronization of schematics and layouts across… Read More


Webinar: Automating the Integration Workflow with IP Centric Design

Webinar: Automating the Integration Workflow with IP Centric Design
by Admin on 04-08-2024 at 3:14 pm

(Work email required for verified registration)

During a project, subsystem and full-chip integration plays a crucial role. Integration can be particularly challenging on large SoCs with distributed teams due to complexity of the integration process, multi-site infrastructure issues, as well as the need to collaborate … Read More


Webinar: AI-Driven EM-IR Design Closure

Webinar: AI-Driven EM-IR Design Closure
by Admin on 02-26-2024 at 7:52 pm

IR drop closure is becoming a major challenge for designers on advanced nodes. The number of violations at signoff has increased significantly, leading to longer turnaround time (TAT) or violations being waived. To solve this challenge, IR drop needs to be addressed early in the implementation phase with an automated IR prevention… Read More


Webinar: Efficient Design Methodology for 112G Interface Compliance

Webinar: Efficient Design Methodology for 112G Interface Compliance
by Admin on 02-07-2024 at 11:13 pm

As 112G+ data transfer becomes the new normal, companies risk schedule delays unless they improve the efficiency of their multi-board design methodology. An efficient design methodology looks at signal and power integrity early and often as the design progresses. In addition, with the precision required to meet 112G compliance,… Read More


Simulate at the Speed of Design 2024

Simulate at the Speed of Design 2024
by Admin on 02-07-2024 at 8:21 pm

REDUCE THE RISK AND COST OF LATE DESIGN, MANUFACTURING CHANGES

How to Shorten Development Time and Reduce Costs for Your Next Product

If your team utilizes Altair® InspireTM, SimSolid®, and SimLab® in the early stages of product development to improve product performance, or manufacturing simulation like Altair® InspireTM

Read More