Morris Chang on Altera and Intel

Morris Chang on Altera and Intel
by Daniel Nenni on 04-25-2013 at 7:00 pm


If you want to know why I have written so much about TSMC in the past five years here it is: TSMC executives are approachable, personable, answer questions straight on, and have yet to lead me astray. If you want an example of this read the Chairman’s comments on the TSMC Q1 2013 earnings call transcript.

“On 16-nanometer FinFET, we have said several times that this is a change in cadence in our new technology introduction. It used to be 2 years per node and in the case of 16-nanometers FinFET, it follows just 1 year, by 1 year, the 20 SoC. So it is a quickening of cadence and that is because of market request, market requirements, customers’ requests.”

Call it Taiwan culture, or maybe that TSMC executives are highly technical people (experts in their fields), as a result, the flow of information is excellent for people who know what questions to ask. I’m not talking about press releases that professional PR people do for them with PR speak. I’m talking about unscripted Q&A sessions like the ones in the conference calls.

“The second point I want to make is that we have been collaborating with our customers and ecosystem partners for more than 15 years. Through the ecosystem OIP, TSMC’s technology has been collaboratively optimized for SoC development.”

My favorite Morris Chang story is when I saw him at the Royal Hotel in Hsinchu last year. I came in the same time he did and he beat me up the three flights of stairs to the lobby. Not kidding. This man has me beat by 30 years and 3 steps. I’m training on a Stairmaster now so I will be ready for him next time!

“CapEx will be between $9.5 billion and $10 billion this year. This is an increase from the last guidance we gave, which was about $9 billion. Basically, we have stepped up the preparation for the ramp-up of 20-nanometer and 16-nanometer. We have pulled some of the capital in because we want to be — to have as high yields as possible when we do start ramp-up, volume ramp-up. And of course, we are continuing to build up 28-nanometer capacity. Therefore, approximately 90% of the capital expenditures are for 28-nanometer, 20-nanometer, 16-nanometer, both building facility and equipment. Another 5% is for R&D and that’s mainly for 10-nanometer, 7-nanometer, et cetera.”

The best part of the call was in the Q&A with a question about Altera moving to Intel. Generally speaking the analyst questions are pretty dull but every once in a while they come up with a good one.

“I very much regret Altera’s decision to work on the 14-nanometer with Intel even though the financial impact is relatively small and Altera remains a major and valued partner of TSMC’s. We have gained many customers in the last few years but I really hate to lose even a part of an old one. We want them all, really. I regret it and because of this, we have thoroughly critiqued ourselves. If there was a thing like an investigative commission on what happened, we had it. And there were, in fact, many reasons why it happened and we have taken them to heart. And it’s a lesson to us and I don’t think that we — at least, we’ll try our very best not to let similar kinds of things happen again.”

In my opinion there was nothing TSMC could have done. Altera left TSMC because of Xilinx. Xilinx is a fierce competitor on all fronts: financial, marketing, sales, technology, ecosystem, etc… so there is no way Altera can outrun Xilinx on a level playing field. TSMC is open to all customers and does not do exclusive partnerships so Intel was a smart choice for Altera.

The question is: Can Intel be a good foundry partner for Altera? My guess is yes they can, as long as the new Intel CEO is on board with it and Altera does not need ARM (ARM and Intel do NOT mix). Not great news for Intel’s other FPGA partners though (Achronix and Tabula). They must really be steaming over the “exclusive” Altera deal!

lang: en_US


Cadence ♥ TSMC

Cadence ♥ TSMC
by Daniel Nenni on 04-19-2013 at 6:00 pm

TSMC has been investing in the fabless semiconductor ecosystem for 25+ years and that is why they are the #1 foundry and lead this industry (my opinion). I’m a big fan of joint webinars. Not only is it collaboration open to the masses, it is a close collaboration between the two sponsoring companies. Having worked on the TSMC AMS reference flows for the past four years I can tell you that these webinars are definitely worth your time.

Interested in advanced node designs?
Enhance your expertise with two new webinars from TSMC and Cadence!

Addressing Layout-Dependent Effects: At 9am and 6:30pm PDT on April 25, Manoj Chacko and Bala Kasthuri of Cadence and Jason Chen from TSMC will present, “Variation-Aware Design: Detecting and Fixing Layout-Dependent Effects Using the Cadence® Virtuoso® Platform, Part II, a sequel to Variation-Aware Design, Part I. You’ll learn about:

  • The solutions jointly developed by Cadence and TSMC, to provide a complete layout-dependent effect (LDE) flow for circuit and layout designers working at 28nm and below
  • When, why, and how you should incorporate TSMC’s LDE-API with Cadence Virtuoso tools into an analog, custom, or mixed-signal design flow to achieve the most efficient design cycle time

Register Now: https://www.secure-register.net/cadence/TSMC_Q2_2013

Managing Design Complexity at 20nm: At 9am and 6:30pm PDT on May 23, Rahul Deokar and John Stabenow of Cadence and Jason Chen from TSMC will present, “20nm Design Methodology: A Completely Validated Solution for Designing to the TSMC 20nm Process Using Cadence Encounter®, Virtuoso, and Signoff tools.” You’ll learn about:

  • The TSMC-Cadence solutions in the TSMC 20nm Reference Flow, tools certification, and Cadence tools and methodology to enable 20nm design with double patterning technology (DPT)-aware capabilities, to reduce design complexities and deliver required accuracy
  • How in-design DPT and design rule checking (DRC) can improve your productivity
  • How both colored and colorless methodologies are supported, and data is efficiently managed in front-to-back design flows
  • How local interconnect layers, SAMEMASK rules, and automated odd-cycle loop prevention are supported
  • How mask-shift modeling with multi-value SPEF is supported for extraction, power, and timing signoff

Register today: https://www.secure-register.net/cadence/TSMC_Q2_2013

Cadence enables global electronic design innovation and plays an essential role in the creation of today’s integrated circuits and electronics. Customers use Cadence software, hardware, IP, and services to design and verify advanced semiconductors, consumer electronics, networking and telecommunications equipment, and computer systems. The company is headquartered in San Jose, Calif., with sales offices, design centers, and research facilities around the world to serve the global electronics industry. More information about the company, its products, and services is available at www.cadence.com.

lang: en_US


Altera, Intel, TSMC, ARM: the Plot Thickens

Altera, Intel, TSMC, ARM: the Plot Thickens
by Paul McLellan on 04-16-2013 at 7:15 pm

Vince Hu of Altera presented us her at the GlobalPress Electronics Summit on their process roadmap. Since just a month or two ago they announced that Intel would be their foundry at 14nm, everyone wanted to get a better idea of what was really going on.

At 28nm, Altera use 2 processes, TSMC 28HP (for high end Stratix-5 devices) and TSMC 28LP for mid-range, low-cost devices.


The next generation will use 3 processes. At 20nm their partner remains TSMC. TSMC’s 20nm is a planar process (FinFET starts at 14nm). At 14nm their foundry is Intel, with their TriGate process (their name for FinFET) which they will use for the highest performance devices. And they will also use TSMC’s 55nm process with embedded flash to make hybrid devices that are a bit like a PLD and a bit like and FPGA.

One interesting thing Vince said, just as an aside, was that 20nm will be lower power, higher performance and lower cost. Since there have been a lot of rumors that TSMC 20nm may not be cheaper than 28nm, that was an interesting datapoint. Altera will be announcing products here later this year.

So we started to ask questions.

Microprocessors? ARM is a great partner, at 20nm we are committed to ARM. What about 14nm? Is Intel going to manufacture ARM? Is Altera going to put Atoms on FPGAs? Too soon to comment but there may be an announcement soon. So my guess would be that Intel isn’t going to be building ARMs into Altera arrays and some sort of Altera/Intel processor deai will be announced in the future.

What about TSMC’s 14nm FinFET process? When that is available is Altera going to use it? Not discussing at this point.

How about 3D? TSV? Not ready to talk about it yet.

Vince, master of the cryptic remark, did say they are looking at what comes after, especially in the cost-sensitive space. They may even look at process technologies that are already out today. My guess would be that they might design lower cost arrays into 28nm once 28nm is no longer leading edge and wafer costs drop.

So FinFET is focused on Intel but they remain committed to TSMC. ARM is a strong partner but it remains to be seen what that means at 14nm. The future is a bit murky out there.


Two New TSMC-Cadence Webinars for Advanced Node Design

Two New TSMC-Cadence Webinars for Advanced Node Design
by Daniel Payne on 04-15-2013 at 3:43 pm

Foundries and EDA vendors are cooperating at increasing levels of technical intimacy as we head to the 20nm and lower nodes. Cadence has a strong position in the EDA tools used for IC design and layout of custom and AMS (Analog Mixed-Signal) designs. They have created a series of webinars to highlight the design challenges and new approaches required. In 10 days you can learn about addressing Layout-Dependent Effects (LDE) as part II. See my blog on the part I webinar.

Interested in advanced node designs? Enhance your expertise with two new webinars from TSMC and Cadence.


Continue reading “Two New TSMC-Cadence Webinars for Advanced Node Design”


TSMC Responds to Samsung!

TSMC Responds to Samsung!
by Daniel Nenni on 04-12-2013 at 10:00 pm

This was the 19[SUP]th[/SUP] annual TSMC Symposium and by far the best I have attended. Finally tired of the misinformation that plagues our industry, TSMC set the record straight with wafer and silicon correlated data. TSMC shipped more than 88 MILLION logic wafers in 2012, more than any other semiconductor company, that gives them significant bragging rights which they rarely exercise. It was standing room only (I counted 1,200+ chairs) not including the 48 ecosystem partner companies manning the booths next door.

The one thing that was not mentioned was the Apple move from Samsung to TSMC starting at 20nm. Considering Apple is responsible for an estimated 70% of Samsung’s foundry business this product shift is devastating. Several ecosystem partners told me that Samsung is cutting budgets for their ecosystem (tools and IP) in preparation for the Apple loss. TSMC on the other hand has 850 people building their ecosystem with an annual shared budget of $1.5B. This ecosystem delivers silicon accurate tools, reference flows, and IP blocks (5k+) for each and every process node. The Chairman (Dr. Morris Chang) calls this, appropriately enough, the Grand Alliance! Interesting notes from the Chairman:

  • Semiconductor industry contracted 2-3% in 2012
  • TSMC customers outperformed the PHLX Semiconductor Sector (SOX)
  • Semiconductor industry to grow 4% in 2013
  • Fabless companies will grow 9% in 2013
  • TSMC will grow “in the teens” again in 2013 (TSMC grew 19% in 2012)

One thing you have to realize about mobile SoCs is that they only have a one year shelf life. The most recent Samsung based Apple A6 SoC will die a very quick death when the TSMC based A7 starts shipping next year. This is a new experience for us as semiconductor professionals. This is changing the way we buy and sell wafers. Don’t get me wrong, price will always be important but the mobile customers also buy technology road maps: What can be delivered when, at what capacity, and at what confidence level. It’s all about setting customer expectations and exceeding them and that was the focus of this symposium.

Dr. Jack Sunreminded us that TSMC is the only foundry to successfully ramp 28nm according to the road maps. 20nm is ramping now three months ahead of schedule and 16FF will start to ramp next year which is half the time it usually takes between nodes. This correlates to what I blogged about before with “Wrights Law” which states that “We learn by doing” or that the cost of a unit decreases as a function of the cumulative production. Other interesting notes:

  • 20nm is ahead of schedule (production starting in 2013)
  • 16nm FF is yielding ahead of plan based on 128MB SRAM test chip data
  • 10nm FF is in process with a 2[SUP]nd[/SUP] generation FinFET (GePMOS)
  • COWOS is in production with multiple tape-outs @ > 95% yield

Dr. Cliff Hou talked about the design challenges from 65nm (low power), 40nm (HKMG), 20nm (double patterning), 16nm (FinFets), and 10nm (multi patterning and spacer). Cliff is a great speaker, very smart, and very personable. If I had to pick the next TSMC CEO it would be Cliff. The most interesting slide he presented for me was the design rule comparisons per node:

  • 700 rules @ 90nm
  • 800 rules @ 65nm
  • 1,200 rues @ 40nm
  • 1,900 rules @ 28nm
  • 3,000 rules @ 20nm
  • 3,400 rules @ 16nm

Now look at the DRC deck size comparisons per node:

  • Just under 20,000 @ 90nm
  • Just over 20,000 @ 65nm
  • Just under 30,000 @ 40nm
  • Just over 40,000 at 28nm
  • Right on 80,000 at 20nm
  • Just under 100,000 @ 16nm

Using this data and a very complex algorithm would put 10nm rules at 5,000 and DRC deck size at 250,000. Are we really prepared for this kind of complexity with our current DRMs in PDF formats?

J.K. Wangfollowed Cliff with some very interesting data on building fabs. Paul McLellan did a nice blog on it already: How Long Does it Take to Go From a Muddy Field to Full 28nm Capacity? J.K. is one of the original TSMC employees so you can bet he can build a fab.

If I had to sum up the conference in one sentence here it is:

Semiconductor foundries are presenting very aggressive technology road maps.
The question is: Which one can you trust to deliver?

lang: en_US


How Long Does it Take to Go From a Muddy Field to Full 28nm Capacity?

How Long Does it Take to Go From a Muddy Field to Full 28nm Capacity?
by Paul McLellan on 04-09-2013 at 4:02 pm


TSMC has a lot of capacity. Not just that, it has a lot more under construction. It currently has 3 300mm Gigafabs, fabs 12,14 and 15 (there doesn’t seem to be a 13). This morning, Dr Wang, who is TSMC’s VP of 300mm operations told us about the expansion plans. Currently fab 15 phase 3 and 4, and fab 12 phase 3 are to be ramped this year. Four more phases are under construction. Fab 14 phases 5, 6 and 7. And fab 12 phase 7. TSMC used to construct roughly one phase per year, now it builds 3. With all this capacity the will ramp to 13.5M wafers (8″ equivalents). Capacity in advanced nodes will double in advanced nodes (sub 45nm).

The ramp of 28nm into volume production was the fastest TSMC has ever done. 20nm/16nm will ramp even faster. Of course this is driven partially by the steep ramps and short product cycles of the mobile industry. Fabs 12/14 have thousands of engineers already preparing for that ramp.

Here is how 28nm ramped. In June 2010 fab 15 was a muddy field in Taichung. For 12 months the building and clean rooms were created. In another 10 months equipment move in and qualification took place. 22 months after breaking ground phases 1 and 2 of fab 15 started production output, TSMC’s first 28nm volume fab (of course there is a technology development research fab where the process was developed but that has very limited capacity).

You may have heard that TSMC had capacity problems at 28nm and this is true. But it is not true due to yield or capacity problems, it is entirely due to the major recession scaring off all the chip vendors and having them forecast a major drop in volume. But electronics is flying off shelves and so it turned out that by putting capacity in place for forecast demand there was not enough capacity for actual demand. More capacity is going in since phases 3 and 4 start next month which will take Fab 15 from 50,000 wafers per month to 100,000 wafers per month.

In Q1 2012 when the first two phases of fab 15 were nearing completion, production volume was zero wafers. By Q4 the fab was fully ramped to its 50,000 wafers/month capacity. So the answer to the question in the title is about 30 months from muddy field to full volume ramp complete.

It was even more of a challenge than it sounds in some ways. It was a new site (TSMC’s first fab there), a new team and a new technology.

Dr Wang went on to talk a bit about TSMC’s plans for 450mm wafers. Or rather the whole industry’s. The Global 450 Consortium was founded in March last year in Albany NY. TSMC is actually the general manager. The semiconductor equipment industry is moving forward with some prototypes now available.

From a technical point of view it looks like production tools should be available early in 2016 for everything except EUV. He has that in mass production in 2018. TSMC will build a pilot line in 2016-17 and ramp production after that, either on 10mm or 7mm depending on detailed timing of when equipment is really available in production volumes.

And yes, I know the last picture is actually fab 14. Even TSMC doesn’t seem to have a photo of fab 15 in its press photogallery.


FinFET Design Challenges Exposed!

FinFET Design Challenges Exposed!
by Daniel Nenni on 04-07-2013 at 6:00 pm

The first mention of FinFETs appeared on SemiWiki after the ISSCC conference in 2011. Dr. Jack Sun, TSMC Vice President of R&D and Chief Technology Officer, spoke about the power crisis the semiconductor industry is facing and FinFETs was one of the promising technologies that could help us. Since then, we have posted 100+ related articles with FinFET being the top trending term for search engine traffic coming to SemiWiki in 2012. That is why Dr Chenming Hu, the father of FinFETs, is the covetedKaufman Award winner this year and why I agreed to keynote FinFET day at the EDPS Conference in Monterey this month. They should call them FunFETs because we are certainly having a good time!

Recently I was invited to an informal back yard BBQ with a group of layout people who are working on FinFET test chips. Layout people are a different breed for sure but you will not meet a harder working group in the semiconductor ecosystem, believe it. And FinFETs are not making their life any easier!

My mother was very analytical, a natural born engineer. Unfortunately, in the 1950’s women weren’t encouraged to work outside the home much less become engineers but she did anyway and ended up being a draftsperson working on the Apollo Space program. I remember getting the VIP treatment as a kid at her work place and noticing that the clear majority of her coworkers were women, except management of course.

It was deja vu all over again when I worked with layout groups in Silicon Valley in the early 1980’s. Mostly women, none of which had engineering degrees but, like my dear mother, were engineers at heart and very good at what they did. 30 years later quite a bit has changed with layout groups and tools given that the job is much more difficult with all of the design and manufacturing advances we have seen over the years.

One of the things that has NOT changed however is the Design Rule Manuals (DRMs). They are still the center of the layout universe, they are still in paper or PDF form, and they are a growing problem for layout people. Process technologies are coming at them faster every year. Design rules are much more complicated and change more frequently during the “maturing” period. DRMs are much more cryptic and tape out schedules are staying the same. Clearly DRMs are going to have to change if we are going to continue down this path otherwise schedules will slip, mistakes will be made, layout people will spontaneously combust, not a pretty picture believe me. Adding layout head count will not help either since it takes years to master leading edge layout. In fact that is what has saved us thus far, the depth of experience the average layout person has today.

The change that is coming, the change that has to come, is with the DRMs. As a communication tool between the foundries and the fabless semiconductor companies it is failing. We need to provide the information REQUIRED to efficiently and effectively layout modern semiconductor devices. What we need is an Interactive Design Rule Manual that brings design rules to life! Sound reasonable?


TSMC to Talk About 10nm at Symposium Next Week

TSMC to Talk About 10nm at Symposium Next Week
by Daniel Nenni on 04-02-2013 at 8:05 pm

Given the compressed time between 20nm and 16nm, twelve months versus the industry average twenty four months, it is time to start talking about 10nm, absolutely. Next Tuesday is the 19th annual TSMC Technology Symposium keynoted of course by the Chairman, Dr. Morris Chang.

Join the 2013 TSMC Technology Symposium. Get the latest on:

  • TSMC’s 20nm, 16nm, and below process development status including FinFet and advanced lithography insights
  • TSMC’s new High-Speed Computing, Mobile Communications, and Connectivity & Storage technology development
  • TSMC’s robust Specialty Technology portfolio that includes CMOS Image Sensor (CIS), Embedded Flash, Power IC and MEMS
  • TSMC’s GIGAFAB™ programs and improvements that enhance time-to-volume
  • TSMC’s 18-inch manufacturing technology development
  • TSMC’s Advanced Backend Technology for 3D-IC, CoWoS (Chip-on-Wafer-on-Substrate), and BOT (Bump-on-Trace)
  • New Design Enablement Flows and Design Services on TSMC’s Open Innovation Platform®

REGISTER HERE

TSMC takes this opportunity each year to let customers know what is coming and get feedback on some of the challenges we will face in the coming process technologies. I remember 2 years ago when TSMC asked customers openly if they were ready for FinFETs. The answer was mixed, the mobile folks definitely said yes but the high performance people were not as excited. Here we are, two years later, with FinFET test chips taping out. So yes, these conferences are important. This is the purest form of collaboration and SemiWiki is happy to be part of it.

TSMC will also let us know that 20nm is not just on schedule but EARLY. They have been working around the clock to make sure our iPhone6s arrive on time so don’t expect any 20nm delays. In fact, recent news out of Hsinhcu says TSMC will begin installing 20nm production equipment in Fab 14 two months early of the June 2013 target. 20nm is also the metal fabric for 16nm FinFETs so expect no delays there either.

The COWOS update will be interesting. Liam Madden, Xilinx Vice President of FPGA development, did the keynote at the International Symposium on Physical Systems (ISPD) last month and three-dimensional integration was the focus on the kickoff day. EETimes did a nice write up on it: 3-D Integration Takes Spotlight at ISPD:

“For many years, designers kept digital-logic, -memory and analog functions on separate chips—each taking advantage of different process technologies,” said Madden. “On the other side are system-on-chip [SoC]solutions, which integrate all three functions on the same die. However now there is a third alternative that takes advantage of both worlds—namely 3-D stacking.”


3D transistors plus 3D IC integration will keep the fabless semiconductor moving forward at a rapid pace. If you would like to learn more, Ivo Bolsens, CTO of Xilinx, will be keynoting the Electronic Design Processing Symposium in Monterey, CA this month. The abstract of his keynote is HERE.

There is also a 3D IC panel “Are we there yet?” moderated by Mr 3D IC himself Herb Reiter. Herb will be joined by Dusan Petranovic of Mentor Graphics, Brandon Wang of Cadence, Mike Black of Micron, and Gene Jakubowski of E-System Design. Abstracts are HERE. Register today, room is limited!


TSMC Tapes Out First 64-bit ARM

TSMC Tapes Out First 64-bit ARM
by Paul McLellan on 04-02-2013 at 2:15 am

TSMC announced today that together with ARM they have taped out the first ARM Cortex-A57 64-bit processor on TSMC’s 16nm FinFET technology. The two companies cooperated in the implementation from RTL to tape-out over six months using ARM physical IP, TSMC memory macros, and a commercial 16nm FinFET tool chain enabled by TSMC’s open innovation platform (OIP).

ARM announced the Cortex-A57 along with the new ARMv8 instruction architecture back at the end of October last year, along with the Cortex-A53 which is a low-power implementation. The two cores can be combined in the big.LITTLE configuration to combine high performance with power efficiency.

Since the beginning, ARM processors have been 32 bit, even back when many controllers in markets like mobile were 16 bit or even 8 bit. This is the first of the new era of 64-bit ARM processors. These are targeted at datacenters and cloud computing, and so is a much more head-on move into Intel’s core market. Of course, Intel is also trying, with Atom, to get into mobile where ARM remains the king. I doubt that this processor will be used in mobile for many years. There is a rule of thumb that what is used on the desktop migrates into mobile 5 years later.


TSMC have been working with ARM for several process nodes over many years. At 40nm they had optimized IP. Then at 28nm they taped out a 3GHz ARM Cortex-A9. At 20nm it was a multi-core Cortex-A15. Now, at 16nm, a Cortex-A57.

This semiconductor process is basically one with the power and drive advantages of FinFET transistors, but with the more mature 20nm metal fabric that does not require excessive double patterning and the attendant extra cost. This process is 2X the gate density of 28nm with 30+% speed improvement and power at least 50% less. This 16FF process enters risk-production at the end of this year. Everything needed for doing design from both TSMC and its ecosystem partners is available now for early adopters.

As I talked about last week when Cliff Hou of TSMC presented a keynote at SNUG, modern processes don’t allow all the development to be serialized. The process, the design tools and the IP needs to be developed in parallel. This test chip is a big step, since it is a tapeout of an advanced core very early in the life-cycle of the process. In addition to being a proof of concept it will offer opportunities to learn all the way through the design and manufacturing process.

Last year, TSMC had capacity to produce 15.1 million 8-inch equivalent wafers. If my calculation is correct that is over 1000 acres of silicon. That’s a pretty big farm.


Samsung 28nm Still Does Not Yield?

Samsung 28nm Still Does Not Yield?
by Daniel Nenni on 03-31-2013 at 7:00 pm

As if Samsung didn’t have enough to worry about with their new neighbor to the North (Korea) declaring war, Samsung 28nm is still NOT yielding. In my previous blog “Can Samsung Deliver as Promised?” I wondered what will power the new Galaxy S4 phones that Samsung has been aggressively marketing. You would think it would be a 28nm version of the Exynos 5 Octa SoC that was launched at CES in January with former President Bill Clinton. As it turns out that is not the the case. I like honesty, I like transparency, I don’t like how Samsung is communicating with us on this one.


The first launch of the Galaxy S4 will be powered by 28nm silicon all right, TSMC 28nm silicon in the form of a QCOM Snapdragon 600. That must really hurt the Samsung foundry folks since they are negative selling TSMC and others at the top fabless semiconductor companies in an effort to win 14nm business. At first it was rumored that it was an LTE issue with Exynos but Samsung denied that recently on Twitter of all places. The people I asked here in Silicon Valley, one of which is a Samsung customer, said it was in fact a 28nm manufacturing problem.

Remember, Samsung went Gate-First HKMG instead of Gate-Last like TSMC:

Gate-first HKMG is easier to implement as a transition from a traditional poly/SION structure, she explained. The construction of the gate and transistor remain the same, though the materials are different (i.e., a high-k gate oxide instead of oxynitride); a metal gate is inserted, and then poly on top of that—and the rest of the flow is “basically the same as previous generation structures.” Gate-first also is “much simpler” to implement from a process migration standpoint in terms of IP implementation, and fewer restrictive design rules (gate-last requires CMP around the gate structure). “We can maintain 50% shrink from 45nm to 32nm because there’s not as many restrictive design rules,”Ana Hunter (VP foundry at Samsung Semiconductors)said. This makes the process particularly good for mobile applications, as it’s cost-effective and “very good on gate leakage—a >100× improvement from 45nm to 32nm.”


During one of my Taiwan trips in 2010 I asked Dr. Shang-yi Chiang why TSMC decided on Gate-last versus Gate-first. Shang-yi is TSMC’s Executive Vice President and Co-Chief Operating Officer, he joined TSMC in July 1997 as Vice President of Research and Development (R&D) and has successfully delivered many new process technologies including 28nm. Shang-yi told me quite honestly that TSMC had both Gate-first and Gate-last 28nm HKMG architectures under consideration but concluded that yes Gate-first is simpler (less manufacturing steps) and would be easier to design to (less restrictive) but it was much harder to yield, especially for complex SoCs. The rest is history, TSMC successfully implemented Gate-last 28nm and they have 100% market share as a result.

This all goes to credibility which is the cornerstone of collaboration and the fabless semiconductor ecosystem. Let’s not forget how all this fabless stuff got started. The traditional semiconductor companies (IDMs) were not doing their jobs. They rented out their excess capacity allowing former employees to innovate and bring us companies such as QCOM, BCOM, NVDA, XLNX, and the resulting fabless semiconductor ecosystem.

Now, Intel and Samsung (both IDMs) are trying to get back control of the semiconductor industry and will spend whatever it takes to do so. According to IC Insights, their combined capital expenditures now represent more than 40% of the total semiconductor capital spending. To me this is a great cause for concern for the fabless semiconductor ecosystem. Just my opinion of course.