Has the Semiconductor Industry Gone Mad?

Has the Semiconductor Industry Gone Mad?
by Daniel Nenni on 02-07-2015 at 7:00 pm

The weather in Taiwan last week was very strange. It was so cold I tried to turn on the heat in my hotel room only to find out it was not possible. If you want more heat they bring a portable heater because who needs central heat in Hsinchu? Even stranger is all of the media hyperbole on the next process nodes:

Intel CFO: We’re so far ahead that Apple has no choice but to work with us

What he actually said is that Intel is so far ahead of the competition when it comes to PC processors that Apple (and just about every other PC maker) has no choice but to use Intel chips. True as that may be I’m not sure reminding everyone that you have a monopoly on the PC business is such a great idea. In regards to Apple it is hard to tell what they will do for semiconductors. At one time the media thought that Apple would no longer do business with their competitor (Samsung) after successfully moving to TSMC at 20nm. Now the media has “affirmed” that Apple is using Samsung 14nm exclusively for the iPhone and iPad this year:

Apple affirmed to return to Samsung for 14nm ‘A9’ chips for next iPhones, iPads

As I have said before, no one likes a monopoly so I find it highly unlikely that Apple will use just one foundry if at all possible moving forward. Given that they make two different chips, one for the iPhone and a larger more powerful one for the iPad, it makes using two foundries that much easier. You should also know that Samsung 14nm is LP (low power) while TSMC 16nm FF+ has a higher performance range so making the A9 at Samsung and the A9x at TSMC is much more believable.

The other thing you should ask yourself is why did Samsung and GlobalFoundries REALLY do the 14nm licensing deal last year? The answer is because customers “suggested” they do so. And by customers I mean the two largest wafer customers which are Apple and Qualcomm of course. I remember Paul McLellan and I being briefed on this last Spring and me thinking to myself, “Has the semiconductor industry gone completely mad?”

Samsung ♥ GLOBALFOUNDRIES

In a recent conference call TSMC called GlobalFoundries “Samsung’s accessory” which was funny but it also has a much deeper meaning. Given the choice of a single manufacturing source for a specific process node or a source with an “accessory” Apple or Qualcomm will chose the latter, which is what they have done at 14nm. There have been no announcements as to whether Samsung and GlobalFoundries will again work together (copy exact) on 10nm but if Apple and QCOM say so they will, absolutely. You have to follow the money trail in the fabless semiconductor ecosystem for sure.

The other question I asked myself at the end of this trip was: “Self, how long until UMC becomes TSMC’s accessory?” And if this trend catches on who will be Intel’s foundry accessory?


Intel to Launch 10nm Chips in Early 2017?

Intel to Launch 10nm Chips in Early 2017?
by Daniel Nenni on 01-31-2015 at 7:00 am

As I have mentioned before, Intel and the foundries approach process development from different starting points. Intel is committed to Moore’s law in reducing the transistor cost by increasing the process density in a near linear fashion. The foundries on the other hand work closely with partners and customers to determine the power, performance, and area (PPA) goals of the next process node within a specific time to market (TTM). As we all know, Apple has a very specific TTM (iTTM) which will always be the priority.

14/16nm SoCs are already in production at Intel, Samsung, GlobalFoundries, and TSMC with products due out in the second half of 2015. This will be the first time we really get an Apple-to-Apple, IDM vs Foundry comparison with the Intel Cherry Trail and Apple A9 SoCs and I’m truly excited to see the first tear down. Considering the Apple A8 had 2B+ transistors on a 89mm2 and 8.47 X 10.5mm die, one can only imagine how many transistors the 14nm SoCs will have.

Now that 14/16nm is in production we are looking to 10nm for our next cost reduction. I really am glad we are all calling it 10nm but as you know not all 10nm processes are created equal (Who Will Lead at 10nm?). The 10nm process design kits (PDKs) are just now hitting the streets so the design challenges have just begun. The foundries are targeting the end of 2015 for the first customer tape outs which generally means production one year later. My guess is that you will see products with 10nm silicon in the second half of 2017 which means we will again be on 14/16nm for 2016. Improved versions of course, maybe 16nm FF++++ or 14nm UUULP?

An Intel Executive recently predicted 10nm would be available in 2017 in a candid interview on GulfNews.com out of Dubai of all places:

“We have been consistently pursuing Moore’s Law and this has been the core of our innovation for the last 40 years. The 10nm chips are expected to be launched early 2017,” said Taha Khalifa, general manager for Intel in the Middle East and North Africa region.

Mr. Khalifa is a 24 year Intel veteran so he should certainly know. Intel has a famous tick-tock model where they follow every architecture change with a die shrink. A tick is a die shrink and a tock is a new architecture. Broadwell was a 14nm tick, Skylake will be a 14nm tock, and Cannonlake will be a 10nm tick.

Back in the day, we used to judge microprocessors by the clock speed (megahertz), it was a badge of honor really. I remember buying a PC with a 40MHZ AMD CPU for more money than one with an Intel 33MHZ CPU. I even shamed my brother who had just bought a 33MHZ version. Computers were really like muscle cars for nerds back then. Recently an SOC friend of mine shamed me for commenting that the A8 ONLY ran at 1.4GHZ versus 2GHZ. What can I say, old habits die hard. With SoCs, the badge of honor is getting the best SYSTEM LEVEL performance, which now, thankfully, includes battery life.


TSMC Finishes 2014 with the Chairman on the Call!

TSMC Finishes 2014 with the Chairman on the Call!
by Daniel Nenni on 01-15-2015 at 9:30 pm

I’m not a financial guy, as I have mentioned before, so let me just make some comments on the technology discussed on today’s conference call. Please note that the Chairman Dr. Morris Chang was on the call which is probably why the TSM stock went up more than 8% immediately after. Of course there was plenty of good news to go along with it but having Morris on the call definitely added market confidence, my opinion.

The biggest number I noticed was that advanced nodes made up 51% of revenues meaning 28nm and 20nm. TSMC predicted a quick 20nm ramp with Q4 2014 revenues at 20% of the total which quite a few people did not believe. Well, 20nm came in at 21% so congratulations to all who made that possible. TSMC stated quite clearly that they expect a similar ramp with 16nm this year and it is very hard to doubt that. 20nm is expected to contribute 20% of the total revenue for 2015 so it may be a much longer node than expected.

TSMC is raising CAPEX again to about $12B which is a 25% increase. 80% of it is for advanced nodes (28nm, 20nm, 16nm, and 10nm). Intel on the other hand is reducing CAPEX from about $11B to $10B putting them third behind Samsung and TSMC. Morris reiterated that TSMC builds fabs based on customer orders unlike others who build fabs on speculation only to find them empty. Just a guess here but that is probably a reference to Intel and the empty Fab 42.

TSMC finished the year with a 27.8% revenue growth compared to 18% in 2013. Lets call that the “Apple Factor”. Last year Morris predicted 5% growth for the semiconductor industry 10% growth for the foundry industry and said TSMC would outperform them both. Indeed. This year Mark Lui predicts that the semiconductor industry will grow 5% and foundry revenue will grow 12% with TSMC outperforming them again.

In regards to 10nm, qualification is still scheduled for Q4 2015 with production silicon in 2017. My guess is that 10nm will be here in time for the iPhone refresh in the fall of 2017, absolutely. 10nm is going to be an interesting node but more on that later.

28nm continues to grow due to mid to low end 4G smartphones. You can probably thank Xiaomi for that since they use 28nm Snapdragons. QCOM is an investor in Xaiomi so that will probably not change anytime soon. TSMC continues to optimize their 28nm offerings and feels that they will be able to defend their dominant position with which I agree. C.C. Wei also siad in her prepared statement that 16nm production started in Q3 2014 with meaningful revenue scheduled for Q1 2015. My guess was first 16nm revenue will be reported in Q2 2015 and based on the Q&A session I will stick with that.

I had to see the Q&A session in print before I commented because it was probably one of the more confusing ones I have heard/read. I don’t know who transcribes these for Seeking Alpha but they could do a better job for sure. And the analysts need to do a much better job preparing. Take a look at the transcript and let me know what you think in the comments section. The question about the server market was interesting but here is my favorite exchange:

Roland Shu
– Citibank
Yes I think maybe I should rephrase my question —

Morris Chang– Chairman
Why do you have to rephrase your question all the time?


Update: Who will manufacture the Apple A9?

Update: Who will manufacture the Apple A9?
by Daniel Nenni on 01-04-2015 at 12:00 am

Last August I presented possible scenarios for the manufacturing of the Apple A9 processor. Quite a bit has changed since then so I think it is worth revisiting. There has also been quite a lot of misinformation in the press which is now pretty much a daily thing. Attending the IEDM conference last month really was a stark difference than what “The Google” has to offer people who are looking for answers in all the wrong places. Seriously, the chasm between the two sides (semiconductor professionals and non-professionals) really is quite large.

Also Read: Who will Manufacture Apple’s Next SoC?

As we all know Apple has disrupted many different industries with innovative technology and aggressive business practices, the semiconductor industry included. Apple is now one of the largest and most innovative fabless semiconductor companies and becoming part of their supply chain is bringing a whole new level of competition amongst the fabless semiconductor ecosystem. Let’s start with last year’s blog Samsung ♥ GLOBALFOUNDRIES.

You have to ask yourself why Samsung REALLY did this deal with GF? One theory, which I firmly believe, is to get the Apple SoC business back from TSMC. Apple amongst many others (myself included) really wants GF to be successful for the greater good of the pure-play foundry business. Take a look at the last paragraph I wrote:

An interesting thing: On one side of the briefing table was Ana Hunter, Vice President of GLOBALFOUNDRIES, formerly Vice President Foundry, Samsung Semiconductors. On the other side was Kelvin Low, Senior Director, Foundry Marketing Samsung, formerly Director Product Marketing, GLOBALFOUNDRIES. It’s a small world after all.

Ana Hunter was instrumental in the foundry relationship between Samsung and Apple so who better to bring Apple to GF? Since the GF 14nm is a copy exact version of the Samsung 14nm, Apple has two manufacturing sources for the A9. And from what I learned at IEDM, both are now yielding in time for the next iPhone launch (September 2015). The Apple A9X (higher performance version) is still slated for TSMC 16FF+. This chip will go into tablets but may also be seen in laptops and possibly a high performance version of the iPhone making it a much higher volume chip than originally expected.

Yes I know Barron’s is still repeating that the foundries have not figured out FinFETs leaving the door wide open for Intel blah blah blah… absolute nonsense:

“Could Intel (INTC) be in a position to be Apple’s (AAPL) savior? That intriguing bit comes from Drexel Hamilton’s chip analyst Rick Whittington, from a note on Micron. In passing, Whittington notes problems had by Taiwan Semiconductor (TSM) and Samsung Electronics (005930KS) trying to produce 3-D transistors. Intel has mastered 3-D transistors, and so, writes Whittington “btw, very good for Intel if neither Samsung or TSM can do FinFET this next year; puts them in line to supply Apple’s internal foundry needs; more likely TSM/Samsung operate FinFET under very low yield output, keeping capacity tight.”

Yet another analyst pretending to be a semiconductor professional…..

Again, Samsung, GlobalFoundries, and TSMC are now yielding FinFETs with high volume production starting in Q2 2015. The next versions of iPhones and iPads will be FinFET based, absolutely.


IEDM Advanced CMOS Technology Platform Session

IEDM Advanced CMOS Technology Platform Session
by Scotten Jones on 01-01-2015 at 7:00 am

First I want recognize that IEDM once again provided all of the attendees with the proceedings as soon as we arrived at the conference, in fact the proceeding included every year of IEDM back to 1955. This is how a conference should be run! Anyone who read my blog about the SPIE Advanced Lithography Conference will know how frustrating I found SPIE not making the proceeding available until months after the conference. SPIE really needs to fix that! Being able to read the papers before a session and then review them again after the session is really helpful.

There were three papers in the Advanced CMOS Technology Platform session that really caught my attention this year.

TSMC

First up was TSMC presenting their 16FF+ technology. The process presented this year provides a 15% speed improvement at the same power or a 30% power improvement at the same speed versus the 16FF process presented at IEDM last year. All of the critical dimensions disclosed for this process are the same as 2013 (48nm fin, 90nm gate and 64nm M1 pitches). The level of performance improvement TSMC has achieved is more in-line with what you would see for a new node and to achieve this level of improvement while maintaining the same critical dimensions is really an achievement. Unfortunately from my perspective the paper only discussed the results and didn’t provide any details on how they were achieved other than to say they focused on reducing capacitance. Still the results are impressive!

Intel

The next paper that really caught my attention was the Intel paper on their 14nm technology. Intel’s 14nm technology is the densest 16nm/14nm class process currently available with 42nm fin, 70nm gate and 52nm metal pitches. The gate pitch x metal pitch metric is 0.51x the 22nm technology, IDsat is 15% better for NMOS and 41% better for PMOS. Active power is 30% better than 22nm with 10x better tddb and less Vt variation.

Once again there wasn’t a lot of detail presented about the process but there were a few interesting disclosures:
[LIST=1]

  • The process uses solid source doping to dope under the fins. My belief is that the STI trenches between the fins are filled with doped glass that is then etched back to the bottom of the fin and then annealed to out-diffuse the dopants. I would expect both p and n doped glasses would be required. I have come up with one integration scheme that does this without additional masks but it would result in topography at the bottom of the wells. I think it is more likely one additional mask would be needed.
  • Air gaps are used on two of the interconnect layers. Data was presented for delay improvements for metal 4 – 17% and metal 6 – 14%. Interestingly my understanding is that analysis of actual Intel products in the field has found the air gaps on layers 5 and 7. During the presentation it was also disclosed that a mask is needed for each air gap. After the paper someone asked how this is done and author declined to comment. Based on cross sections and the one mask per air gap disclosure it seems likely that this is the process Intel described in 2010.
  • I was surprised when it was first disclosed that this process has 13 metal layers. Intel used 6 metal layers at 180nm (aluminum) and 130nm (copper), 7 layers at 90nm, 8 layers at 65nm and 9 layers at 44nm, 32nm and 22nm. I was expecting 10 metal layers at 14nm. I think what has happened here is that Intel has moved to SADP for critical metals layers and SADP really only produces gridded lines and spaces for a 1D layout. This has likely required additional metal layers versus previous 2D metal layers.
  • During the presentation Intel briefly displayed the pitches for all the metal layers. Unfortunately it wasn’t up long enough for me to copy down the numbers and unlike many attendees I respect the no photography rule. The pitches are also not in the paper. I have seen measured pitches on products in the field but I can’t share them yet. I will say that I saw a report on EE Times that the process has 8 layers of 52nm minim pitch metal, it actually has 5 layers of minimum pitch metal.
  • Intel has previously stated that the 14nm process wafer cost is 29% higher than the 22nm wafer cost. I have a really hard time reconciling that number with all the added masks at 14nm. First there are 8 mask layers required for the additional 4 metal layers, then 2 mask layers for the 2 air gap layers and likely 1 mask layer for the under fin doping. Then there are 1 additional cut mask for fins (2 versus 1 for 22nm), 1 cut mask each at contact and M0 and 10 cut masks for metals M1 through M5. In all I see an approximately 50% increase in both masks and process complexity.

    IBM
    The final paper I wanted to comment on from the session is the IBM paper on their 14nm technology. Where Intel and TSMC produce FinFETs on bulk wafers IBM produces FinFETs on SOI. The use of SOI enables IBM to integrate eDRAM on the same wafer with only 2 masks (my estimate). eDRAM is much more area efficient for cache than SRAM and with the huge cache sizes required for processors and SOCs eDRAM can save a lot of die area. I believe the IBM eDRAM process only requires 1 mask to form the trench DRAM capacitor and 1 additional mask for a thick gate oxide for the access transistors. The IBM process definitely leads in the complexity category with 15 metal layers and the eDRAM. This process is likely targeted at IBMs internal processors used for high end servers where processor cost is really not much of a consideration. The process pitches are 42nm for fin, 80nm for gate and 64nm for metal. IBM gave the most process details of the three papers with a block level process flow, always a favorite of mine. This is a very impressive high performance process.

    Comparison and conclusions

    The following table compares the density for the three processes.

    [TABLE] align=”center” border=”1″
    |-
    | style=”width: 133px” |
    | style=”width: 120px; text-align: center” | IBM
    | style=”width: 114px; text-align: center” | Intel
    | style=”width: 108px; text-align: center” | TSMC
    |-
    | style=”width: 133px” | Gate (CPP)
    | style=”width: 120px; text-align: center” | 80nm
    | style=”width: 114px; text-align: center” | 70nm
    | style=”width: 108px; text-align: center” | 90nm
    |-
    | style=”width: 133px” | Metal
    | style=”width: 120px; text-align: center” | 64nm
    | style=”width: 114px; text-align: center” | 52nm
    | style=”width: 108px; text-align: center” | 64nm
    |-
    | style=”width: 133px” | Gate x Metal
    | style=”width: 120px; text-align: center” | 5,120nm2
    | style=”width: 114px; text-align: center” | 3,640nm2
    | style=”width: 108px; text-align: center” | 5,760nm2
    |-

    A few final observations from this session:
    [LIST=1]

  • To my mind Moore’s law is alive and well not only technologically but I also believe these processes deliver cost per transistor reductions as well. For some of the foundry processes cost reduction is modest at 16nm/14nm because they maintained the same BEOL as previous generations but moving forward to 10nm I expect to see significant cost reductions with a return to full scaling.
  • Intel has the densest process when measured by the gate x metal pitch metric. What isn’t clear is how an Intel die size would compare to an IBM die size for a die with a large cache. Intel’s SRAM is 0.0588um2 whereas IBM’s eDRAM cell is 0.0174um2 providing a significant potential area saving for cache.

  • Fabless Semiconductor Milestones of 2014!

    Fabless Semiconductor Milestones of 2014!
    by Daniel Nenni on 12-28-2014 at 9:00 am

    After working in the semiconductor industry for the past thirty years and writing about it for the past six I would say that 2014 was one of the more interesting years of late. Vindication is the word that pops into my mind now that many “predictions” the fabless detractors have made over the last three years were proven wrong.

    As a student of history I think it is important to look at the past to better prepare for the future which is one of the reasons why I blog. Blogging also enabled us to write our book on the history of the fabless semiconductor industry. To take a look back, SemiWiki members can click on the company names or industries (categories) in the header of the blog summaries to see what we have written on that company or market segment. You can also click on the author to see what each of us have written, simple as that.

    In 2014 814 blogs were published on SemiWiki bringing the total to 2134 written by 42 different people. According to Google, SemiWiki has recorded 1,245,650 unique viewers since going online in 2011. The big data (analytics) behind all of this activity is truly amazing.

    2014 also brought my 30th wedding anniversary which my beautiful wife and I celebrated in Hawaii. She runs the financial side of SemiWiki and edits everything I write. 30 more years is going to be no problem at all.

    Some of the top viewed blogs I wrote in 2014 include:

    [LIST=1]

  • GLOBALFOUNDRIES Acquires IBM Semiconductor Unit!
  • Intel Core M vs Apple A8!
  • Is Intel the Concorde of Semiconductor Companies?
  • TSMC Responds to Intel’s 14nm Density Claim!
  • TSMC vs Intel vs Samsung FinFETs
  • Who is Using Samsung 14nm?
  • More Apple A9 Ridiculousness!
  • Who will Manufacture Apple’s Next SoC?
  • TSMC Updates: 20nm, 16nm, and 10nm!
  • Samsung 14nm is the one delayed!

    I agree with this ranking 100%. The GF/IBM deal was by far the most exciting thing to happen in 2014. I have written about GF 46 times over the last 5 years and the IBM acquisition blog was viewed 5 times more than the average. It really could be a game changer for the fabless semiconductor industry. The pure-play foundry business model is what delivered supercomputing to our fingertips (literally) so that business model must continue at all costs. Seriously, IDM foundries do not have our collective best interests in mind as history has clearly shown.

    The most controversial event was the release of the TSMC 20nm A8 and A8x making Apple one of the leading fabless semiconductor companies. Not only was this Apple’s first pure-play foundry chip it was also the first time Apple designed two SoCs, one for the iPhone and a higher performance version for the iPads. Even though South Korea press said this would be a Samsung chip we all knew it would be TSMC and it would yield in time for the iPhone6 launch in Q3 2014. The other thing the A8 brought was a fresh perspective on the Intel process density superiority claims.

    The word vindication also comes to mind since so called industry experts claimed that 20nm would not be in high volume production “until 2015 but mostly 2016”. People also doubted the foundries would produce FinFETs in 2015 and one gentleman predicted that it wouldn’t happen until 2017 and 10nm would also be delayed. Clearly that is not the case so congratulations to the hard working people of the fabless semiconductor ecosystem that proved experts, competitors and the outside media wrong, absolutely.


  • Results of TSMC’s ECO Fill Flow

    Results of TSMC’s ECO Fill Flow
    by Beth Martin on 12-22-2014 at 7:00 am

    By Jeff Wilson, Mentor Graphics and Anderson Chiu, TSMC

    At this year’s TSMC Open Innovation Platform® (OIP) Ecosystem Forum, Mentor Graphics and TSMC co-presented some results of the ECO Fill flow developed for TSMC customers working at advanced nodes. Here is a summary of the presentation. (TSMC customers can access the presentation at TSMC-Online).

    Metal fill (inactive metal shapes) was originally added to open design areas in layouts because a certain metal density was required to pass the foundry’s density design rule checks (DRC). These foundry density requirements helped reduce wafer thickness variations created during chemical-mechanical polishing (CMP) processes. To avoid creating parasitic capacitance issues, the goal was to add only as much fill as needed to satisfy the minimum and maximum density requirements set by the foundry.

    At 45nm and below, metal fill affects multiple manufacturability issues such as stress, etch response, and rapid thermal annealing, and has an impact on design performance. Foundry fill targets have switched from ensuring a basic minimum density to achieving a maximum density. In addition, density checks for density gradient now require a smooth transition between fill densities in adjacent locations. At 20nm and below, fill requirements must also comply with multi-patterning (MP) restrictions to ensure mask balancing, and designers must begin adding multi-layer fill not just to back-end-of-line (BEOL) metal and via layers, but also to front-end-of-line (FEOL) layers. All of these changing manufacturing requirements impact the complexity of metal fill placement, as well as the number of fill elements in a design.

    These changes in fill require sophisticated new fill types and filling strategies. New techniques such as cell-based and multi-patterning-aware fill were integrated into fill engines to provide an automated fill process that can be called from place and route (P&R) tools to ensure an easy-to-use design flow that produces correct-by-construction results. However, the number of fill shapes in advanced node technologies can exceed a billion objects. So an engineering change order (ECO) that arrives late in the tapeout process and requires fill changes in the surrounding area can be a significant engineering challenge. The complexity of replacing fill and reconfirming timing may negatively affect runtime and timing closure, which can lead to a delayed tapeout delivery.

    To handle these last-minute design changes, TSMC developed an ECO fill reference flow designed to work in concert with their overall design ECO flow. The TSMC ECO fill flow addresses the same range of fill situations that their full fill flow encounters, but concentrates only on the portion of the design affected by the ECO. This flow can account for the timing impact of fill without slowing down the back-end flow.

    The TSMC ECO fill reference flow incorporates Calibre® YieldEnhancer’s SmartFill functionality and Calibre DESIGNrev™ to keep fill shapes in a separate file on disk, similar to the approach that the leading parasitic extraction tools use to
    minimize the size of the design database. This proven “merge when needed” approach provides the proper balance between accuracy and performance. The TSMC ECO fill reference flow (shown in the figure to the right) is currently supported for 16nm and 20nm processes. Users can download all the necessary files from TSMC.

    By removing and replacing only the fill in the surrounding area, and re-verifying timing only in the affected area, designers can reduce runtime, manage file size, and minimize timing impacts (see the following figure). By restricting the ECO fill operation to only the same locations where actual mask-making changes occur, the TSMC ECO fill reference flow limits the size of the region that must be evaluated for errors, edited, and refilled. This area reduction is accomplished by generating exclude regions, and clipping the fillable database to include only the area around the design ECO.

    To reduce the size of the fill database, TSMC uses a cell-based approach to fill the design. If the ECO fill flow does not properly handle fill cells, designers will see an explosion in the fill database. So, to minimize this, Calibre SmartFill only flattens the minimum number of cell instances required to remove existing fill that conflicts with the ECO design shapes. It also removes shapes based only on metal-stack-aware DRC spacings. It then refills only in the areas where ECO changes occurred, rather than refilling the entire chip.

    There is a breakeven point in this reference flow—if the area to be refilled is too large, then the efficiencies of scale may be lost. In general, ECO fill strategies are most efficient when the change affects less than 1% of the design area. For bigger changes, the runtime of the ECO fill flow may exceed that of a regular fill run. Generally, good candidates for ECO fill include small areas of change, such as changes in gate functionality that requires a localized rerouting in a limited area. When changes to an entire block indicate that it would be more efficient to simply refill the design from scratch, a hierarchical fill approach may be more appropriate. However, designers must always consider whether minimizing timing impacts and mask costs offset any runtime disadvantage.

    This table demonstrates a number of advantages to having a specialized ECO Fill flow that uses the exact same fill deck that was used to fill the design originally.


    The results from several real world test cases show that fill runtime was reduced by 34% to 89% by using the ECO fill flow rather than a full refill. In four of the five cases, the number of masks that required changes was reduced, and in one case the ECO fill approach resulted in six fewer masks requiring re-manufacturing. The TSMC ECO Fill reference flow implemented with the SmartFill functionality in Calibre YieldEnhancer provides a push-button solution that can handle any last minute design changes.


    IEDM: TSMC, Intel and IBM 14/16nm Processes

    IEDM: TSMC, Intel and IBM 14/16nm Processes
    by Paul McLellan on 12-16-2014 at 7:10 am

    This week is IEDM. Three of the presentations today were by TSMC, Intel and IBM going over some of the details of their 14/16nm processes. They don’t provide the slides at IEDM, just the single page papers so this may end up being a somewhat random collection of facts.

    TSMC were up first. They talked about the improvements that they had made going from their 16FF to the second generation 16FF+ under the title An Enhanced 16nm CMOS Technology Featuring 2nd Generation FinFET Transistors and Advanced Cu/low-k Interconnect for Low Power and High Performance Applications. They already reported on the basic 16FF process last year so this is an update.

    The new process core devices are re-optimized to provide additional 15% speed boost or 30% power reduction. Device overdrive capability is also extended by 70mV through reliability enhancement. Superior 128Mb High Density (HD) SRAM Vccmin capability of 450mV is achieved with variability reduction for the first time. Metal capacitance reduction by ~9% is realized with advanced interconnect scheme to enable dynamic power saving.It seems they are using SADP when forming the fins:Fin patterning and formation on bulk silicon with a 48nm fin pitch is realized using pitch-splitting technique where the fin width is determined by the sidewall thickness of a mandrel. Fin profile and gate profile are carefully co-optimized to balanceamong the needs to maintain excellent short channel control, to enhance drive current and to reduce parasitic capacitance of the devices. Poly-silicon deposition and gate patterning with a gate pitch of 90nm on the 3-dimensional fin structure is followed by high-K metal gate (HK/MG) RPG process.

    Metal1 pitch is 64nm obtained using an “advanced” patterning scheme (I’m assuming LELE double patterning). Higher levels of metal at 80/90nm pitch are single patterned. There is a 15% speed gain or a 30% power reduction compared to 16FF.

    Intel
    presented their 14nm Logic Technology Featuring 2nd-Generation FinFET 2 , Air-Gapped Interconnects, Self-Aligned Double Patterning and a 0.0588um[SUP]2[/SUP] SRAM cellsize. They said that their area per transistor shrink was slightly better than the normal shrink (at 49%), and the cost per transistor continues to fall exactly on Moore’s law. The minimum metal pitch is 52nm (only on metal2, metal1 pitch is 70nm and metal0 is 56nm). The fin pitch is 42nm, and the fins are also taller (42nm) and thinner and more square. The contact to gate pitch is 70nm. They have airgaps on just two metal layers, M4 and M6, which products 14-16% performance increase. SADP is used on critical patterning layers. Variation in Vt, which was getting worse with each planar node, improved and 22nm and improves again at 14nm.

    They admitted that they have had yield problems, which is public knowledge. 22nm is the highest yielding process in Intel history and 14nm is now almost at the same level. It is shipping in volume.


    Using gate pitch multiplied by metal pitch as a proxy for density, Intel have been slightly behind (since TSMC did 28nm when Intel did 32nm, then 20nm when Intel did 22nm, although the timing was such that Intel had earlier production). At 14/16nm this reverses (see diagram).


    IBMtalked about their High Performance 14nm SOI FinFET CMOS Technology with 0.0174μm2 embedded DRAM and 15 Levels of Cu Metallization. Of course this is a process that GlobalFoundries will take over when the acquisition of IBM’s semiconductor division is complete.

    They have a 42nm fin pitch and 80nm contact/poly (so single pattern and cut mask). Metal1 is 64nm pitch. One interesting feature of the process is that they can created decoupling capacitors on-chip without any additional mask. They can make a 31.5uF decap. With the addition of two masks they can make multi work function. There is a 5X leakage reduction. The 14nm eDRAM unit cell has been scaled down to 0.0174um2, which provides a unique memory solution for cache starved processors.

    In the Q&A they were asked if they had SiGe in the fins and refused to comment, which may or may not be significant.

    Bottom line: Intel is ahead (by their own reckoning). IBM has the most perfect process for server processors. But I don’t expect to see competitive SoCs out of Intel before TSMC. Competitve microprocessors from IBM sure, although they are not in the merchant market. Competitive microprocessors ahead of TSMC obviously. But SoCs, let’s see how it pans out.

    More articles by Paul McLellan…


    TSMC Gets Ready for IoT

    TSMC Gets Ready for IoT
    by Paul McLellan on 12-10-2014 at 11:36 am

    With all the talk about 14/16nm and 10nm it is important to realize that older processes are still important. Eventually 16nm may end up being cheaper than 28nm but for the time being 28nm seems to be a sort of sweet spot, not just cheaper than every process that came before it (which was true for every new node) but also cheaper than every process that will come after it (which is new territory for the semiconductor industry). If you are designing an application processor for a smartphone then you will move to the new nodes as fast as you can. But other markets, in particular products for the internet of things (IoT) don’t need that. They need low power, digital/analog/RF integration and so on. This creates new opportunities in the non-bleeding-edge process geometries.

    With the explosive growth phase of smartphones over, IoT is expected to provide a lot of the high growth consumption of semiconductor for the coming few years. PC is nearly flat, smartphone growth will mostly be at the low end of the market with the high end now being mostly a replacement market.


    TSMC has introduced ultra-low power versions of some of its mature processes. The current status is that ultra low power versions of 0.18um and 90nm are in production and 50nm, 45nm and 28nm ULP processes will take risk production in 2015. There is also integrated RF and flash. These are especially attractive for IoT designs that need extremely low power and connectivity. Some IoT applications (such as automotive) are not all that power sensitive since there is a large battery available, but others such as wearables require very long periods between recharges, and still others are predicted to need a battery that lasts for the life of the product or they scavenge power from their local environment.


    Some details of the process. First they operate at a lower Vdd which reduces both standby and active power (and leakage). They are optimized for the 0.5-0.7V range. The tailored eHVT device enables an over 70% reduction in standby power. However they can also work at higher voltages at 1.1V (40LP) and 1.2V (55LP).

    Most IoT designs don’t seem to need really high performance nor billions of transistors since both would consume too much power. But they need the combination of very lower power operation, especially in standby where they will spend most of their life, and RF (since they need connectivity through cellular, WiFi, Bluetooth or some other radio interface).


    So the bottom line is that the new processes are compatible with the existing eco-system at 28HPC. But the operating voltage is reduce by over 20%, active power by over 30%, standby power by over 70% and the capability to build an SoC that includes RF and embedded flash, perfect for the IoT market.


    TSMC Bringing EUV Into Production

    TSMC Bringing EUV Into Production
    by Paul McLellan on 12-08-2014 at 7:00 am

    Last week was ASML’s investor day. I wasn’t there and they haven’t yet got the material posted on their website, so this is all second hand information. As you know, if you have read any of my comments on EUV, I have been dubious about whether EUV would ever work for production.

    The three big problems seem to be:

    • source power and photoresist sensitivity
    • cleaning masks and/or pellicles
    • lack of defect free masks

    I have heard other issues too, such as line-edge-roughness, but these seem more like the regular HVM ramp issues that greadually get fixed just by running a lot of wafers.


    ASML announced that TSMC has ordered two more EUV scanners. They already have two and they will be upgraded with the new light sources. These are apparently on course to achieving 120W of output early next year and so can support 1000 wafers per day throughput (currently it is 80W and around 500 wafers per day). They claim 1500 in 2016 but schedules for anything to do with EUV have been notoriously unreliable.

    They said that TSMC will be using these for 10nm production. I don’t think TSMC is going to try and introduce EUV at the same time as a new process node (nor 450mm if that ever happens). The initial PDKs for 10nm are already out and they involve multiple patterning. So I presume TSMC will actually introduce EUV for 16nm (probably not for production), do the HVM ramp for 10nm and then brings EUV in as an option there. Intel, by the way, have said they will not use EUV at 10nm.

    In fact ASML’s CEO Peter Wennink conirmed this:We are working with a customer[presumably TSMC] towards a mid-node insertion of EUV at the 10nm logic node expected in late 2016. Other customers are preparing for initial learning in a manufacturing environment.


    The next big problem has been mask contamination. The masks for EUV are reflective mirrors (actually not even all that reflective, ordinary mirrors absorb EUV just like almost anything). Without a pellicle, a thin covering for the mask, any contamination on the mask is in the focal plane and will print (see the above diagram). So masks need to be cleaned but there are a limited number of times a mask can be cleaned before the pattern starts to degrade. Intel has already said that they don’t see how to use EUV for volume manufacturing without a pellicle.


    The challenge with a pellicle is that any material absorbs EUV with pSi being the best material by far. ASML said that they will manufacture pellicles too, so presumably striking that problem off the list.


    I don’t know if progress has been made on the mask defect issue. The masks (and the mirrors in the optical path) are actually built up with multiple layers of Mo/Si. One of the challenges is that defects on the base layer can be too small to see with optical inspection (plus the size makes it equivalent to searching for a golfball in the whole of California). However, when the multi-layer mirror is build up the defect gets magnified to the point that it will print. There has been some work done on aligning the pattern on the mask so the defects are under the pattern, so irrelevant, but I’ve not seen anything about it recently. Anyway, I think mask inspection and mitigation are still an open issue.


    More articles by Paul McLellan…