Primarius 2B

2024 Outlook with Stephen Fairbanks of Certus Semiconductor

2024 Outlook with Stephen Fairbanks of Certus Semiconductor
by Daniel Nenni on 02-13-2024 at 10:00 am

Certus Official Hires subtext

Certus Semiconductor is a unique company. Their customer centric business model ensures customer success at many levels. Certus is staffed by a team of IO and ESD experts that go above and beyond what you can get from free libraries, protecting your designs and your customers products from the risks of electrostatic discharge.… Read More


ESD Alliance and Silicon Assurance Host Industry Panel Discussion on Chiplet Security

ESD Alliance and Silicon Assurance Host Industry Panel Discussion on Chiplet Security
by Bob Smith on 02-13-2024 at 6:00 am

Phishing,,E mail,,Network,Security,,Computer,Hacker,,Cloud,Computing,Cyber,Security

Security threats are a hot topic of discussion today as they can have a profound impact on the electronic infrastructure and devices that are the backbone of our global economies. It is also clear that these threats can be introduced during the design of the very devices that we rely on in our daily lives.

Chiplet-based design is … Read More


Sustainable Development: Connected Devices and the Role of Flexible Semiconductors

Sustainable Development: Connected Devices and the Role of Flexible Semiconductors
by Kalar Rajendiran on 02-12-2024 at 10:00 am

Global Goals for Sustainable Development

The ambitious United Nations Sustainable Development Goals (SDGs), from reducing food waste to enhancing global healthcare access, hinge on a connected world where every object whispers valuable data. As technology strives to address environmental challenges, healthcare needs, and promote responsible consumption, traditional… Read More


Outlook 2024 with Dr. Laura Matz CEO of Athinia

Outlook 2024 with Dr. Laura Matz CEO of Athinia
by Daniel Nenni on 02-12-2024 at 6:00 am

PR Aufnahmen für gewerbliche Zwecke

Laura Matz is also the Science & Technology Officer of Merck KGaA, Darmstadt, Germany. She has always been a key contributor to the growth in semiconductor materials, driving a strong R&D presence to enable business growth.

Laura is a strong advocate for young talent in science and engineering. As a leader, she builds … Read More


Podcast EP207: How Achronix is Enabling Multi-Die Design and a Chiplet Ecosystem with Nick Ilyadis

Podcast EP207: How Achronix is Enabling Multi-Die Design and a Chiplet Ecosystem with Nick Ilyadis
by Daniel Nenni on 02-09-2024 at 10:00 am

Dan is joined by Nick Ilyadis, vice president of product planning at Achronix. Prior to Achronix, Nick was vice president of portfolio and technology strategy at Marvell Semiconductor and vice president and group CTO at Broadcom. Nick has also held many engineering roles during his career, starting as a chip designer and moving… Read More


CEO Interview: Vincent Bligny of Aniah

CEO Interview: Vincent Bligny of Aniah
by Daniel Nenni on 02-09-2024 at 6:00 am

Imge 2

Vincent Bligny is a renowned expert in mixed-signal verification, particularly with transistor-level formal techniques. He spent 15 years in this industry, mainly within STMicroelectronics’ design and verification teams, allowing him to understand the challenges and opportunities of the EDA field.

 Tell us about your company?Read More


Outlook 2024 with Anna Fontanelli Founder & CEO MZ Technologies

Outlook 2024 with Anna Fontanelli Founder & CEO MZ Technologies
by Daniel Nenni on 02-08-2024 at 10:00 am

ANNA (1)

I spoke with Anna again at the Chiplet Summit this week, we had previously spoken at DAC 2023. MZ is short for Monozukuri which is a Japanese term that translates to “making things” or “manufacturing.” In a broader sense, it refers to the art, science, and craftsmanship of creating products which fit chiplets… Read More


SOITEC Pushes Substrate Advantages for Edge Inference

SOITEC Pushes Substrate Advantages for Edge Inference
by Bernard Murphy on 02-08-2024 at 6:00 am

FD SOI power min

You might not immediately see a connection between semiconductor substrate choices and inference at the edge. These technology layers seem worlds apart and yet SOITEC have a point. Edge AI has rapidly evolved from simple CNNs to now complex reinforcement learning systems and transformer based LLMs. Even when shrunk to edge footprints,… Read More


2024 Outlook with Cristian Amitroaie, Founder and CEO of AMIQ EDA

2024 Outlook with Cristian Amitroaie, Founder and CEO of AMIQ EDA
by Daniel Nenni on 02-07-2024 at 10:00 am

AMIQ EDA DVT Eclipse

SemiWki has been working with AMIQ EDA for more than four years now and it has been quite the education. AMIQ EDA is a company that specializes in providing development and verification solutions for digital design and verification teams in the semiconductor industry. They offer a range of products and services aimed at improving… Read More


Application-Specific Lithography: Avoiding Stochastic Defects and Image Imbalance in 6-Track Cells

Application-Specific Lithography: Avoiding Stochastic Defects and Image Imbalance in 6-Track Cells
by Fred Chen on 02-07-2024 at 6:00 am

Application Specific Lithography

The discussion of any particular lithographic application often refers to imaging a single pitch, e.g., 30 nm pitch for a 5nm-family track metal scenario. However, it is always necessary to confirm the selected patterning techniques on the actual use case. The 7nm, 5nm, or 3nm 6-track cell has four minimum pitch tracks, flanked… Read More