You are currently viewing SemiWiki as a guest which gives you limited access to the site. To view blog comments and experience other SemiWiki features you must be a registered member. Registration is fast, simple, and absolutely free so please, join our community today!
We are back live and what a grand place to have our first live event in three years; Disneyland! We have a fantastic program that addresses new test technology challenges that significantly affect today’s electronic products! ITC is the world’s premier conference dedicated to electronics test. This year’s ITC continues with its mission to …
Welcome to EuMW 2022 European Microwave Week 2022 takes place in the vibrant city of Milan. Bringing industry and academia together, European Microwave Week 2022 is a SIX day event, including THREE cutting edge conferences, THREE Forums and ONE exciting trade and technology Exhibition featuring leading players from across the globe. EuMW 2022 provides access …
September 21, 2022 Westin Tokyo Tokyo, Japan September 26, 2022 Grand Hyatt Seoul Seoul, South Korea Overview The PCI-SIG Developers Conferences are free events for our 900+ member companies that develop and bring to market new products utilizing PCI Express® technology. They are an opportunity to learn directly from the industry’s PCIe® experts and participate in technical trainings to gain …
September 26, 2022 – September 29, 2022 George R. Brown Convention Center, Houston, Texas About SMC Celebrating our 129th year, we invite you to join us in Houston for our premier event, the SNAME Maritime Convention. Attend SMC for 70+ technical presentations, panels, and continuing education sessions. Network with peers from all over the world. …
Monday, September 26, 2022 | 6:30 p.m. to 10:00 p.m. The Westin Anaheim Resort All members of the design and test community are invited to attend Synopsys 28th Annual Test & SLM Special Interest Group (SIG) at the 2022 International Test Conference (ITC). This year’s event will be hosted by Dr. Ken Butler, Senior Director of Business …
The U.S. Air Force - FACE™ and SOSA™ Technical Interchange Meeting and Expo, hosted by the Air Force Lifecycle Management Center (AFLCMC) is an important opportunity to discover new advancements and the progress being made for functionality and interoperability of modular open system environments. The event features DoD leaders and members in the Future Airborne Capability Environment (FACE) and …
Balancing ride, handling, and comfort while considering energy optimization, NVH, and durability September 27, 2022 at 02:00 AM PDT September 27, 2022 at 08:00 AM PDT REGISTER HERE Designing a vehicle involves many parameters and their interactions. In electric vehicle development, the focus is typically on the electric drive, the battery, and their performance improvement. …
Traditionally, even as semiconductors have been at the core of technological innovation and have changed the world beyond any other technology, they have often been seen as a commodity and rarely in the spotlight or getting noticed. This has all changed with the acceleration of digital transformation across industries and digitalization of work and life …
ALUMINIUM is... ... the world's leading trade show and B2B platform for the aluminum industry and its main application industries - the show focuses on solutions for automotive, mechanical engineering, building and construction, aerospace, electronics, packaging and transportation. As the industry's most important platform, ALUMINIUM brings together producers, processors, end consumers and technology suppliers along …
Gear Up for Design Excellence with Cadence Virtuoso Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling algorithms, Cadence amplifies the creativity of designers. Cadence has …
Tuesday, September 27 | 10 am EDT (New York) | 9 am CDT (Chicago) | 7 am PDT (San Francisco) | 4 pm CET (Central Europe) In this webinar, Bill Calver, Director of AcuSolve Program Management, will discuss CFD Transient simulation on Autoclave designs to replicate FDA & ISO procedures for condensation/evaporation for sterilization cycles. You will learn …
Spend a day with Swoosh’s technical experts at NX University 2022! Our team will be diving deep into new NX Siemens software features and more. We’ll be showcasing sessions like What’s New in NX CAD/CAM NX2007 and NX2206, MDB, PMI, Hidden Gems, and other highly recommended favorites! The day will begin with our experts sharing …
Attend this webinar to learn all the major release features in Ansys Chemkin-Pro for 2022 R2, including a multiphase perfectly stirred reactor (PSR) sample to gain insights into liquid rocket propellant combustion chemistry. TIME: SEPTEMBER 27, 2022 11 AM EDT / 4 PM BST / 8:30 PM IST About this Webinar This webinar will highlight …
Tue, Sep 27, 2022, 8:00 AM - 9:00 AM PDT *Company email is required for registration* In this live webinar, we will take a look at MACsec as a persuasive security solution for the Open-RAN Fronthaul. We will understand the very sensitive data that the fronthaul transports, its strict high-performance requirements, and the urgent need …
Gear Up for Design Excellence with Cadence Virtuoso Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling algorithms, Cadence amplifies the creativity of designers. Cadence has …
DAY 1 Wednesday, September 28, 2022 Doors Open 3:00pm CET DINNER Restaurant KULTURWIRTSCHAFT, 7pm - 10pm DAY 2 Thursday, September 29, 2022 Doors Open 8:30am CET EVENT LOCATION GlobalFoundries FAB 1 Wilschdorfer Landstrasse 101 01109 Dresden, Germany We are thrilled to welcome you to GF Technology Summit 2022. This exclusive, invitation-only event is in-person again, …
TIME: SEPTEMBER 28, 2022 4 AM EDT / 9 AM BST / 1:30 PM IST About This Webinar Learn how to integrate photonic components into your system development and validation, and include an optical system, in your environment, to validate its performance when in use. With the new Ansys optical solution: speed up your development …
Discover how Siemens is using a plant Real Time Tracking Solution (RTLS) in combination with blockchain and the IoT operating system MindSphere to enable complete traceability in the food and beverage industry. To ensure better food safety, more flexibility and reliability in production, and greater transparency in supply chains. In the event of recalls, they …
Time: 11:00 AM Eastern Daylight Time Duration: 1 hour REGISTER HERE Summary The cosmetics and beauty industry has always been at the forefront of product innovation, adapting to consumer needs, new trends and regulations. Consumer demand for more sustainable and conscious products is posing some new challenges to the industry. Formulators seek greener, traceable ingredients and products …
Spend a day with Swoosh’s technical experts at NX University 2022! Our team will be diving deep into new NX Siemens software features and more. We’ll be showcasing sessions like What’s New in NX CAD/CAM NX2007 and NX2206, MDB, PMI, Hidden Gems, and other highly recommended favorites! The day will begin with our experts sharing …
Join Henry Vu, Lead Application Engineer, and Tom Neely, Senior Principal R&D Engineer, for a discussion on higher-fidelity aircraft mission simulations with STK Aviator and Fluent Aero. TIME: SEPTEMBER 28, 2022 11 AM EDT / 4 PM BST / 8:30 PM IST About this Webinar Recent advancements in STK Aviator and Fluent allow for highly …
Better environmental performance, lower costs, and greater customer value September 29, 2022 at 02:00 AM PDT September 29, 2022 at 08:00 AM PDT With increasing pressure from regulatory bodies as well as customers, manufacturers across industries need to shift toward more sustainable methods of production. By acting early in the development process, companies have a …
Use manufacturing analytics to measure, evaluate and continuously improve September 29, 2022 at 04:00 AM PDT September 29, 2022 at 10:00 AM PDT Manufacturing analytics within manufacturing operations management (MOM) solutions gives manufacturers the ability to measure and evaluate their processes to solve operational issues. This improved visibility reveals ways to improve efficiency, reduce costs, accelerate …
Gear Up for Design Excellence with Cadence Virtuoso Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling algorithms, Cadence amplifies the creativity of designers. Cadence has …
HYBRID FORMAT SEPTEMBER 29-30, 2022 AIM OF THE WORKSHOP With increasing system complexity, security, stringent runtime requirements for functional safety, and cost constraints of a mass market, the reliable and secure operation of electronics in safety- critical, enterprise servers and cloud computing domains is still a major challenge. While traditionally design time and test time …
Date: Thursday, September 29, 2022 Time: 09:00 BST / 10:00 CEST / 11:00 EEST and Israel Crack the Verification Double Trouble! Chips are becoming bigger and more complex, adding to already existing verification woes. Design and verification engineers struggle with running billions of regression cycles to achieve the desired target coverage and finding as many …
Free Webinar Series | April - October 2022 For many companies, the journey to product electrification and sustainable e-mobility solutions require completely transforming well-established design practices, acquiring non-core domain expertise, and integrating new design software within incumbent tech stacks. Not only can this require a substantial amount of time and investment, but where to start …
Attend this webinar to learn all the major release features in Ansys Forte for 2022 R2, including cavitation modeling for liquid pumps and valves and modeling complex motions with an arbitrary wall-boundary UDF. TIME: SEPTEMBER 29, 2022 11 AM EDT / 4 PM BST / 8:30 PM IST About this Webinar This webinar will highlight …
Santa Clara Marriott This year's event brings together Synopsys’ Digital Design Technical Symposium and Verification Day into a single event - Synopsys Silicon Realization TechSummit. The quest for the best power, performance, and area, and delivery of first-time right silicon requires innovative solutions for designing and verifying complex chips. Attendees will hear from thought leaders …
The buzzwords of 2022 are autonomous driving, radars, and semiconductor and they are all similar in more than one-way. All have protocols, schedulers, sensors, high performance computing, software, networks, interfaces, antennas, and attenuators. VisualSim Architect is used to architect and verify all these applications. Join us for this Webinar on Commonality in the Architecture Exploration …
03-04 Oct, 2022, 11:00 AM (PDT, GMT-7) Signia by Hilton San Jose, California, USA Samsung Foundry invites you to the 2022 Samsung Foundry Forum and SAFE Forum, whose aim is to strengthen our leadership in the fast-evolving foundry market. This forum will be the first in-person event held in three years. We look forward to …
Due to countless breakthroughs and developments in wafer fab processing, today's semiconductor wafer fabrication process contains some of the most intricate procedures developed by humankind. Semitracks' 4-day Wafer Fab Processing course looks in-depth at the semiconductor manufacturing process and individual processing technologies. It runs from 8:00am to 12:00 noon PDT each of the 4 days. To …
Igniting Digital Transformation Join the world’s brightest minds. Explore and discuss trends, solutions, and breakthrough achievements that challenge the status quo and reimagine how technology can be used to drive enhanced processes and products. From big brand thought leaders to early-stage start-up entrepreneurs, learn how the convergence of simulation, high-performance computing, and AI is transforming …
Gear Up for Design Excellence with Cadence Virtuoso Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling algorithms, Cadence amplifies the creativity of designers. Cadence has …
For more than 30 years PCB West has trained designers, engineers, fabricators and, lately, assemblers on making printed circuit boards for every product or use imaginable. More than 2,500 designers, fabricators, assemblers and engineers register and more than 100 companies exhibit each year at the four-day technical conference and one-day sold-out exhibition. From high-reliability military/aerospace …
The next scientific hub for space exploration might just be located on the Moon. As NASA partners around the world set their sights on sending humans to the lunar surface, the digital twin has a major role to play. Simulation is used for thermal and structural analysis of lunar space systems to ensure reliability at …
WELCOME TO EDI CON ONLINE EDI CON Online takes place each year, bringing much needed technical training and information directly to engineers’ desktops and mobile devices. Free, real-time training with easy registration and access. Content is available online, for free, to attendees live and on-demand. Keynotes, Technical Sessions, Featured Talks and Workshops cover topics in …
Join Kyle Kochel, Application Engineer, as he discusses STK's Test and Evaluation Tool Kit (TETK) to evaluate a satellite's launch and early orbit phase (LEOP) while also analyzing the ability of several ground stations to track the satellite. TIME: OCTOBER 5, 2022 11 AM EDT / 4 PM BST / 8:30 PM IST About this …
Gear Up for Design Excellence with Cadence Virtuoso Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling algorithms, Cadence amplifies the creativity of designers. Cadence has …
EDPS 2022 is now scheduled for October 6th, and 7th, at the SEMI building in Milpitas. Registration is now open. Please see the Registration page. Please note that most of the talks and papers the last 22 years of EDPS are now available, and searchable, on the Prior Years page. About this event 2022 IEEE EDPS will …
07 Oct, 2022 (EST, UTC-5) Hilton Airport, Munich, Germany Why Attend Through the various session programs, clients, partners, and experts in each field will be able to meet again in person and prepare to go forth into the new future of the semiconductor market. Samsung Foundry invites you to the 2022 Samsung Foundry Forum and …
The five-day conference will be held in Detroit, Michigan | October 9 – October 13, 2022. ECCE is the pivotal international conference and exposition event on electrical and electromechanical energy conversion field. ECCE 2022 Conference will feature both industry-driven and application-oriented technical sessions, as expositions. ECCE will bring together practicing engineers, researchers and other professionals for interactive …
IC Packaging Design and Modeling IC packaging complexity levels are rising year-by-year in lock step with process advances and electrical performance enhancements. Single die packages with leads have given way to multi-chip area array packages, stacked die packages, and stacked packages. Pin-counts have increased from a few handfuls to thousands. Space constraints for consumer products …
Free Webinar Series | April - October 2022 For many companies, the journey to product electrification and sustainable e-mobility solutions require completely transforming well-established design practices, acquiring non-core domain expertise, and integrating new design software within incumbent tech stacks. Not only can this require a substantial amount of time and investment, but where to start …
The 16th LS-DYNA Forum will take place from October 11-13, 2022 in Bamberg and online. With around 100 technical presentations, keynotes from renowned speakers and an accompanying hardware and software exhibition, the forum is the main event dedicated to LS-DYNA in Central Europe. Click here for the Meet the Developers Event Click here for the …
Allerpark | Wolfsburg | Germany The International Suppliers Fair (IZB) is aimed at all automotive industry suppliers and has a prominent reputation in international professional circles. The high-quality exhibitors and large number of key decision-makers and trade visitors that attend the IZB make it an important communication and business platform for the industry. The 11th …
Materials make up everything, which makes them a critical component for engineers and designers to understand as they go through their studies. We at Ansys support the teaching of materials selection, materials science, and materials engineering within other disciplines. During this online workshop, you will discover how the new and engaging Ansys Materials resources can …
Tuesday, October 11 | 10 am EDT (New York) | 9 am CDT (Chicago) | 7 am PDT (San Francisco) | 4 pm CET (Central Europe) In this webinar, Mike Johnson, Technical Director, will talk about creating finite element models of complex biomedical structures. An example will be shown of how to use these methods, …
Altair Feko is a well-known and trusted numerical analysis tool for a wide range of problems in electromagnetics. Its efficient solvers make it a very good tool to utilize as part of a process that explores solution spaces or performs advanced optimisation tasks in electromagnetics. Altair HyperStudy makes a strong complement to Altair Feko for …
Discover how flexible manufacturing combines manufacturing simulation, execution, and automation to transform fast or flexible processes into agile and automated operations. Consumer packaged goods manufacturers are looking to speed-up their manual operations or to inject agility into automated operations. Both goals are essential for addressing the needs of a new generation of consumers. Process and …
WELCOME TO EDI CON ONLINE EDI CON Online takes place each year, bringing much needed technical training and information directly to engineers’ desktops and mobile devices. Free, real-time training with easy registration and access. Content is available online, for free, to attendees live and on-demand. Keynotes, Technical Sessions, Featured Talks and Workshops cover topics in …
Date: Wednesday, October 12, 2022 Time: 09:00 BST / 10:00 CEST / 11:00 EEST and Israel With the growth in computing at the edge driven by the explosion in the number of battery-powered smart devices, designing for low power is mission-critical to product success. Numerous techniques, spanning all stages of design, are employed to reduce …
About This Webinar Virtual NX University is a full day of free training in design and manufacturing, specifically for the NX User. Dive deeper into a plethora of Siemens software updates, new features, and topics voted on by previous NXU 2021 attendees. Our experts are prepared to showcase insightful presentations while answering your trickiest questions. …
Join Dr. James Woodburn, Chief Orbital Scientist for Ansys, as he discusses the new ODTK features. Dr. Woodburn specializes in software development focusing on visibility analysis, orbital conjunctions, orbit propagation, and orbit determination. He'll review the new features that extend capabilities in deep space mission planning and execution, surface vehicle positioning, and satellite deployment from …
Aerospace belongs to explorers. We have been instrumental in pioneering innovative and complex technologies — and now, new methods fuse with old for entirely new processes that take us further than ever into the beyond. In four 30-minute sessions, you will learn everything you need to know to begin implementing optimization techniques that are not only practical, …
Growing electronic/electrical (E/E) architecture complexity and software content in modern vehicles has propelled the use of virtualization-based testing to develop and validate functions and software components more effectively. The simulation of electronic control units (ECUs) as virtual ECUs (vECUs) has found rapid adoption in several phases of automotive development. This 30-minute Webinar will provide a …
Gear Up for Design Excellence with Cadence Virtuoso Applying the full power of Cadence’s leading technology platform for rapid, robust custom IC design The Cadence Virtuoso® and Spectre® platforms are the foundation of custom and mixed-signal IC design. Through integrated flows, the broadest foundry support, and compelling algorithms, Cadence amplifies the creativity of designers. Cadence has …
Materials make up everything, which makes them a critical component for engineers and designers to understand as they go through their studies. We at Ansys support the teaching of materials selection, materials science, and materials engineering within other disciplines. During this online workshop, you will discover how the new and engaging Ansys Materials resources can …
Part of Keysight's 'Simulating for High-Speed Digital Insights' webinar series October 13, 2022 | 10:00 AM PT / 1:00 PM ET Successful memory interface design is more than building and simulating one design that works to the given specification. As a designer, your success relies on making a robust implementation that works for different corner cases, process variations, BOM …
CASPA invites you to attend our 2022 Virtual Annual Conference on Saturday, October 15th, 2022 via Zoom. This Conference is a signature annual event for CASPA and has been well attended by the semiconductor professionals and executives from the Bay Area and worldwide. ANNUAL CONFERENCE AFTERNOON SESSION <ONLINE> DATE: OCTOBER 15TH, 2022 SATURDAY VENUE: ZOOM …
OCTOBER 16-19, 2022 | SHERATON PHOENIX DOWNTOWN, ARIZONA, USA The IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium (BCICTS) technical subcommittees are organized to reflect the rapidly evolving developments in bipolar, BiCMOS and compound semiconductor circuits and devices. Bipolar/BiCMOS devices, circuits and technologies 5G ICs, GaN HPAs/LNAs, InP THz PAs High Performance RF …
OCTOBER 17-20, 2022 GET STARTED We’re back baby! It’s time to get down to business. The business of being hands-on and connecting with all the right people, knowledge, skills and technology that’s propelled broadcast, media and entertainment to a whole new level. You have to experience this, to make that happen. Education: October 17-19 Exhibits: October 19-20 Javits Center …
About SNUG Europe Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Software. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users around the world. In addition to peer-reviewed technical presentations and insightful keynotes from industry …
Designing Compliant, Innovative, & Secure Medical Device Software A software revolution is happening in the medical device industry as rapidly improving technology has made software-enabled devices progressively prevalent. But as it becomes harder to define and classify a medical device, complying with evolving global regulations while keeping software innovative has never been more challenging. The …
Automotive IQ’s Implementation of ISO 26262 & SOTIF event comes to Detroit, Michigan, redesigned and refocused to address the overarching issues and challenges in ISO 26262 and SOTIF, whilst addressing the functional safety community’s vision for a holistic and integrated approach to safety standards. With an agenda 100% driven by industry intelligence and designed in close consultation with ISO …
18 Oct, 2022 (JST, UTC+9) Hilton Tokyo Odaiba, Tokyo Samsung Foundry invites you to the 2022 Samsung Foundry Forum and SAFE Forum, whose aim is to strengthen our leadership in the fast-evolving foundry market. This forum will be the first in-person event held in three years. We look forward to the synergy among Samsung Foundry, …
Thermal Live™ is a new concept in education and networking in thermal management – a FREE 2-day online event for electronics and mechanical engineers to learn the latest in thermal management techniques and topics. Produced by Electronics Cooling® magazine, Thermal Live™ features webinars, whitepapers, and product demos, all with no cost to attend. Sign up for updates now. Are …
The UK’s Leading Event Dedicated to Simulation for Electric Propulsion October 18, 2022 | Silverstone, UK | Free to Attend PDF Agenda Register Why Simulation is the Key to Deliver the Electric Transportation Revolution Simulation is the key technology for delivering world leading electric and hydrogen propulsion systems. It is predictive and intelligent, reducing physical …
Date: Tuesday, October 18, 2022 North America Session: 9:00am - 10:00am PT EMEAI Session: 11:00am - 12:00pm CET Demand for next-generation wireless communication, aerospace, and transportation systems is driving the need for high-performance, cost-sensitive silicon RFICs and III-V compound semiconductor monolithic microwave integrated circuits (MMICs), often integrated into advanced system-in-package (SiP) modules. The latest release of the Cadence® AWR …
Synopsys Webinar: Tuesday, October 18, 2021 | 10 a.m. Pacific REGISTER HERE Bugs can be introduced at any stage in the hardware design development process and escape into tapeout if the verification environment is unqualified. Measuring and improving verification effectiveness to prevent bugs during functional verification is the key to taping out bug-free high-quality designs. …
In order to foster stronger collaboration on RISC-V across the computing industry, RISC-V CON focuses on this disruptive technology, demonstrating its benefits and identifying commercial strategies. Through RISC-V CON, the RISC-V community and ecosystem can share the most up-to-date development and RISC-V based products and solutions. Seventeen years in business and a Founding Premier member …
Live Webinar – Tuesday, October 18 | 14:00 CET VLSI engineering organisations manage workflows with high complexity dependency trees and simulation license availability constrains. Critical success factors for industry-leading VLSI design teams include: Collaboration. Efficient sharing of compute and license resources. Clear visibility of progress and project status. Reproducibility of results and concepts. Learn from Altair's …
Welcome to K 2022! K like anticipation - to the leading business platform for the plastics and rubber industry. As the world's leading trade fair, K will once again be your global highlight next October: for groundbreaking innovations and developments as well as visionary impulses. Industry and research from all over the world present future perspectives and …
At Altair, your success is our #1 priority, and we’re here to help you get more out of your Altair Simulation Driven Design solutions. Ask the Expert is a virtual drop-in webinar allowing you to learn about industry applications, tips & tricks and submit questions or speak LIVE with our Altair Simulation Driven Design experts. …
Abstract Submissions Ready to share and discuss the latest design and verification best practices with your peers from around the world? It’s time for our annual Jasper™ User Group Conference. This interactive, in-depth technical conference connects designers, verification engineers, and engineering managers from around the world to share the latest design and verification practices based …
WELCOME TO EDI CON ONLINE EDI CON Online takes place each year, bringing much needed technical training and information directly to engineers’ desktops and mobile devices. Free, real-time training with easy registration and access. Content is available online, for free, to attendees live and on-demand. Keynotes, Technical Sessions, Featured Talks and Workshops cover topics in …
Time: October 19, 2022 11 AM EDT / 4 PM BST / 8:30 PM IST About this Webinar Around 92 million people in the USA alone are affected by cardiovascular diseases every year. Coronary artery stents have been one of the most revolutionary medical devices to significantly improve life expectancies while being mostly non-invasive medical …
Accelerating Innovation in Photonic IC Design Why Attend? Photonics and photonic IC technologies are crucial to support rapidly evolving internet, healthcare, mobility, and security needs. Driven by data communications, photonic ICs are moving rapidly from the laboratory to mainstream and fueling a wave of innovations and product introductions. Join our Photonic Symposium to hear about …
20 Oct, 2022 (KST, UTC+9) Intercontinental Seoul Coex, Seoul, Korea Samsung Foundry invites you to the 2022 Samsung Foundry Forum and SAFE Forum, whose aim is to strengthen our leadership in the fast-evolving foundry market. This forum will be the first in-person event held in three years. We look forward to the synergy among Samsung …
Time: 09:00 BST / 10:00 CEST / 11:00 EEST and Israel / 13:30 IST The Cadence low-power solution considers power at every step of the design flow, from architecture to functional verification, analysis, implementation, and signoff. In this webinar, the focus will be on the functional verification of the RTL with the power intent defined …
Register today and discover Ansys Hybrid Analytics, a toolset for combining data and physics modeling using machine learning techniques for creating Hybrid Digital Twins. This webinar will highlight the methods to develop Hybrid Digital Twins that are more accurate and responsive. TIME: OCTOBER 20, 2022 11 AM EDT / 4 PM BST / 8:30 PM …
Simulator performance is critical owing to the exponentially increasing complexity of SoC designs and shrinking market time. Cadence® Xcelium™ is a leader in simulation performance, and we focus relentlessly on improving the core performance of the simulator. We keep developing new performance optimizations that are delivered with each new release of Xcelium. It is easy to achieve …
Sunil Sahoo, Corporate Applications Engineer Thursday, October 20, 2022 11:00 AM - 12:00 PM (PDT) Abstract: Coverage is an essential part of any verification environment. Coverage can be simple as a statement and branch coverage, or it can be more complex as a covergroup with constrained-random tests. Implementation, collection and analysis of coverage on your …
Program: IEEE Solid-State Circuits Society Panelist(s) Info: d.marinese@ieee.org Duration: 2 hours Abstract: We are at the dawn of an AI revolution for every human activity including chip design. The AI revolution in chip design is absolutely necessary because of key macro trends influencing chip design and would further accelerate the AI revolution in all other …
Program - Overview Since 1966, the ASC has been the premier home for applied superconductivity conferences to report, discuss and contemplate important and timely technical advances in science and engineering from the broad fields of electronics, large scale, and materials. We warmly welcome everyone to this 2022 Applied Superconductivity Conference in Honolulu for a program …
The scope of the conference will focus and not limited to: Analog/mixed-signal/RF circuits Biomedical and Bio-Inspired Circuits and Systems EDA, Test and Reliability Digital circuits and systems Linear and Non-linear Circuits Low-Power Low-Voltage Design Microsystems Neural networks, Machine and Deep Learning Sensors and Sensing Systems Signal Processing, Image and Video VLSI Systems and Applications To implement the above …
October 24-25, 2022 The Hilton Tel Aviv Tel Aviv, Israel Overview The PCI-SIG Developers Conferences are free events for our 900+ member companies that develop and bring to market new products utilizing PCI Express® technology. They are an opportunity to learn directly from the industry’s PCIe® experts and participate in technical trainings to gain best practices to improve product roll-out …
The Conference for Engineering Simulation and Analysis 25 - 26 October 2022 Göteborg, Sweden Invitation & conference program The conference will provide a unique, independent, neutral, and comprehensive overview of the current state of art in the engineering simulation analysis. Keynote presentations form: Aker Solutions, Siemens Gamesa Renewable Energy and Volvo Cars Technical presentations from …
Take Your Simulations to The Next Level Ansys Level Up 3.0 is coming October 25, 2022. Be sure to mark your calendars to save the date! Get the latest information for Level Up 3.0 by signing up for the Structures newsletter, delivered directly to your email inbox. Registration opens July 2022. Be sure to check back …
The AOC International Symposium & Convention is the premier event for electronic warfare, electromagnetic spectrum operations, cyber-electromagnetic activities, and information operations professionals from around the world. 2022 Theme: The EMSO Playbook: Maneuvering to Win in a New Era Global security is changing rapidly, from Russia's invasion of Ukraine to growing tensions in the Pacific. The …
The Future of Electrification Free Webinar Series The global demand for energy continues to increase and the diversity of sources is growing. Through the Altair Partner Alliance (APA), we are helping customers explore sustainable generation, achieve efficient distribution, maintain reliable power grids, and transform the market. In this five-part webinar series, learn how partners utilize …
Tuesday, October 25 | 10 am EDT (New York) | 9 am CDT (Chicago) | 7 am PDT (San Francisco) | 4 pm CET (Central Europe) The Digital Twin suite from Altair combined with our Model-Based Systems Engineering (MBSE) practice from XLDyn (Altair Partner Alliance) enables cross-functional traceability in a fully integrated work stream for the …
In this webinar, our technology partner, Synmatrix, will present a combined HFSS-Synmatrix workflow for the 3Dmodeling and design of 5G and mm-Wave coaxial cavity and waveguide filters. We’ll include methods for automating the design and optimization of your filter circuits and accounting for temperature and power effects on your filter’s performance. TIME: OCTOBER 25, 2022 …
Arm DevSummit is back live and in person from October 26-27, in San Francisco at the Palace of Fine Arts! Join software developers from Arm’s leading global technology ecosystem to learn, collaborate, and celebrate. Registration opens in July, so register your interest now and don’t miss out. Arm’s foundational technology is defining the future of computing –and …
WELCOME TO EDI CON ONLINE EDI CON Online takes place each year, bringing much needed technical training and information directly to engineers’ desktops and mobile devices. Free, real-time training with easy registration and access. Content is available online, for free, to attendees live and on-demand. Keynotes, Technical Sessions, Featured Talks and Workshops cover topics in …
How Electrification is Reshaping the Automotive Ecosystem Electric vehicles have ignited a transformational shift in the automotive and mobility industries. Are you prepared for an electric future? Electric vehicles are reshaping the automotive ecosystem. EV consumers are expecting a more connected, autonomous, and personalized experience; coupled with geopolitical and sustainability factors influencing the …
Join us at the TSMC 2022 NA OIP Ecosystem Forum! NA OIP Ecosystem Forum (In-Person Event) Date: October 26, 2022 (Wednesday) Time: 9:00a.m. - 6:30p.m. Venue: Santa Clara Convention Center 5001 Great America Parkway, Santa Clara, CA 95054 NA OIP Ecosystem Forum (Online VOD Event) Date: November 10, 2022 (Thursday) Website link to be announced in November Learn About: …
Practical Optimization for Aerospace Live Webinar Series Aerospace belongs to explorers. We have been instrumental in pioneering innovative and complex technologies — and now, new methods fuse with old for entirely new processes that take us further than ever into the beyond. In four 30-minute sessions, you will learn everything you need to know to begin …
Prototyping has become essential for chip and IP developers as they deal with exponentially greater testing requirements that come with growing design size, software content, and input data and workloads to run. The increasing complexity in prototyping has naturally increased costs, both in hardware, tools, and engineering talent. For many projects, build-your-own prototypes are no …
Wednesday, October 26, 2022 - 2:00 PM ET Systems engineering in all industries has been increasingly turning to Model-Based Systems Engineering (MBSE) to meet market expectations. This helps in designing ever more complex systems while reducing development cost and time, maximizing system performance, and improving product safety. By aligning people, processes, and technology around a …
October 27-28, 2022 The Westin Grand Munich Munich, Germany Overview The PCI-SIG Developers Conferences are free events for our 900+ member companies that develop and bring to market new products utilizing PCI Express® technology. They are an opportunity to learn directly from the industry’s PCIe® experts and participate in technical trainings to gain best practices to improve product roll-out and interoperability. …
The Future of Electrification Free Webinar Series The global demand for energy continues to increase and the diversity of sources is growing. Through the Altair Partner Alliance (APA), we are helping customers explore sustainable generation, achieve efficient distribution, maintain reliable power grids, and transform the market. In this five-part webinar series, learn how partners utilize …
Brief Summary of Webinar: In this webinar we will explore different ways of creating and editing paths for tubes and pipes inside XpresRoute. Address the key updates introduced by Siemens inside Solid Edge 2022 and utilize some automation offered inside XpresRoute. How to Create paths for tubes and pipes inside XpresRoute How to Edit paths …
Synopsys Northern Europe is hosting a Technical Symposium providing updates on all aspects of doing state of the art designs at emerging and established nodes. This event provides an opportunity for users to stay connected with the latest products and innovations as well as getting tips & tricks and best practices that our experts will …
October 27, 2022 – 9:00AM – 3:00PM (PDT) Silvaco is pleased to invite you to join its annual Silvaco UseRs Global Event (SURGE), taking place virtually on October 27, 2022. SURGE brings the TCAD, EDA, and IP communities together to discuss new technologies, share users’ experiences, and discover innovative techniques for advanced semiconductor design. Everyone …
Description Multi-die designs allow systems engineering to pack more functionality with different timing and power constraints into a single package. Older generation multi-die split the dies into high-speed and low speed. Newer, high-performance multi-die System-on-Chip (SoC) requires interaction between memories across the die-to-die interfaces. Connections between dies must be power efficient, have low latency, provide …
48th International Symposium for Testing and Failure Analysis The demand for higher performance and lower power-consumption microelectronic devices has driven semiconductor technology to shrink continuously according to Moore’s Law. Furthermore, for latest technologies in nano realm, a new set of disruptive development in new structures and novel materials was introduced. Thus, defects causing semiconductor device …
30 October - 3 November 2022 // San Diego, California, US Hybrid: In-Person and Virtual Conference The Premier Conference Devoted to Technical Innovations in Electronic Design Automation Jointly sponsored by ACM and IEEE, ICCAD is the premier forum to explore the new challenges, present leading-edge innovative solutions, and identify emerging technologies in the electronic design automation research areas. …
Description Title: Electronic Design Automation for Emerging Technologies The continued scaling of horizontal and vertical physical features of silicon-based complementary metal-oxide-semiconductor (CMOS) transistors, termed as “More Moore”, has a limited runway and would eventually be replaced with “Beyond CMOS” technologies. There has been a tremendous effort to follow Moore’s law but it is currently approaching …
TechInsights is pleased to announce that the Linley Fall Processor Conference powered by TechInsights - a Hybrid Event, will be held in Santa Clara, California on November 1-2, 2022. If you cannot attend in person, tune in to our virtual livestream or watch the presentations OnDemand at your convenience. Presentations will address processors and IP …
The future of product development technology For the past 12 years DEVELOP3D LIVE has focused on the very latest in product development technology and has kept it firmly at the heart of its conference and exhibition. Join us in Sheffield on 1 November 2022 to celebrate the very latest in design, engineering and manufacturing technology and how it …
Sign up for this webinar to learn about the Ansys solutions available to the nuclear sector Time: November 2, 2022 11 AM ET / 3 PM GMT / 7:30 PM IST Venue: Online About this Webinar For fission and fusion, from design to upgrading and to decommission, we provide a wide range of engineering solutions …
Customers love the Synopsys Analog Design Solution and have been adopting it at a record pace. Now it's available on the cloud. Synopsys Cloud Analog Instance includes everything you need to get started quickly: software, hardware setup, training, and scripts to help setup and manage your design. Designers not only have access to a full …
Data Centers face many challenges in an environment of exponentially rising data volume growth. With workload demands increasing rapidly, the need for more bandwidth and capacity continues to rise. We’ve reached the point where half of server bill of materials (BoM) costs are for memory. How can we make the best use of this investment? …
Virtually co-sponsored by ICCAD 2022 on November 3, 2022! The WOSET workshop aims to galvanize the open-source EDA movement. The workshop will bring together EDA researchers who are committed to open-source principles to share their experiences and coordinate efforts towards developing a reliable, fully open-source EDA flow. The workshop will feature presentations and posters that …
The Future of Electrification Free Webinar Series The global demand for energy continues to increase and the diversity of sources is growing. Through the Altair Partner Alliance (APA), we are helping customers explore sustainable generation, achieve efficient distribution, maintain reliable power grids, and transform the market. In this five-part webinar series, learn how partners utilize …
This webinar outlines the power integrity, thermal integrity, and signal integrity difficulties in 3D-IC design. TIME: NOVEMBER 3, 2022 11 AM EDT / 3 PM GMT / 8:30 PM IST REGISTER HERE About this Webinar This webinar introduces the Multiphysics requirements to meet performance, integrity, and reliability expectations for 3DICs. Beyond ‘golden solver’ requirements for …
Learn how to bring world-class Ansys Granta materials into your Ansys Mechanical simulation quickly and easily in this upcoming presentation. TIME: NOVEMBER 3, 2022 11 AM EDT / 3 PM GMT / 8:30 PM IST About this Webinar Every Mechanical simulation needs material properties as an input. The accuracy of the material data has a …
The Future of More Than Moore—Chiplets, Advanced Packaging, and More November 3, 2022 8:00am-12:00pm PT Biamp BEC Auditorium REGISTER HERE Presented by the SEMI Pacific Northwest and Silicon Valley Chapters How can we extend Moore’s Law and drive new capabilities in the More than Moore era? The answer lies in the technology, economics, and new …
This 4-hour online workshop by BLT Training provides engineers with experience using the Vitis™ Model Composer tool for MATLAB Simulink model-based designs, specifically focusing on the Versal AI Engines. Learn how to create a model-based design, and create, simulate and debug a complex system with the AIE library blocks. The workshop includes live demos.