SNPS1670747138 DAC 2025 800x100px HRes

A Post-AI-ROI-Panic Overview of the Data Center Processing Market

A Post-AI-ROI-Panic Overview of the Data Center Processing Market
by Claus Aasholm on 08-11-2024 at 8:00 am

Datacenter Supply Chain 2024

With all the Q2-24 results delivered, it is time to remove the clouds of euphoria and panic, ignore the performance claims and the bugs, and analyse the Data Center business, including examining the supply chain up and downstream. It is time to find out if the AI boom in semiconductors is still alive.

We begin the analysis with the two main categories, processing and network, and the top 5 semiconductor companies supplying the data center.

The top 5 Semiconductor companies that supply the data center account for nearly 100% of networking and processing. Once again, the overall growth for Q2-24 was a healthy 15.3%, all coming from processing. Networking contracted slightly by -2.5%, while processing grew by 20.3%. As Nvidia stated that the company’s decline in networking business was due to shipment adjustments, the growth numbers likely do not represent a major shift in the underlying business.

From a Year-over-year perspective, the overall growth was massive, 167%, with processing growing by 211% and networking by 66%.

As can be seen from the Operating Profit graph, the operating profit growth was much more aggressive, highlighting the massive demand from Data centers for Nvidia in particular.

The combined annual Operating profit growth was 522%, with processing accounting for a whopping 859% and networking growing 211%.

The quarterly operating profit growth rates aligned with the revenue growth rates, indicating that operating profits have stabilised and favour Processing slightly, as seen below.

Companies and Market shares

Even though Nvidia is so far ahead that market share is irrelevant for the GPU giant, it is vital for the other suitors. Every % is important.

The combined Datacenter processing revenue and market shares can be seen below:

While Nvidia has a strong revenue share of the total market, it has a complete stranglehold on the profits. The ability to get a higher premium is an important indicator of the width of the Nvidia moat. Nvidia’s competitors are trying to push performance/price metrics to convince customers to switch, but at the same time, they are commending Nvidia AI GPUs as Nvidia is running with a higher margin.

The shift in Market share can be seen below:

While this is “Suddenly, Nothing Happened,” the key takeaway is that despite the huff and puff from the other AI suitors, Nvidia stands firm and has slightly tightened its grip on profits.

The noise around Blackwell’s delay has not yet impacted the numbers, and it is doubtful that it will hurt Nvidia’s numbers, as the H100 is still the de facto choice in the data center.

The Datacenter Supply Chain

The shift in the Semiconductor market towards AI GPUs has significantly changed the Semiconductor supply chain. AI companies are now transforming into systems companies that control other parts of the supply chain, such as memory supply.

The supply situation is mostly unchanged from last quarter, with high demand from cloud companies and supply limited by CoWoS packaging and HBM memory. The memory situation is improving, although not all suppliers are approved by Nvidia.

As can be seen, the memory companies have been the clear winners in revenue growth since the last low point in the cycle.

Undoubtedly, SK Hynix has been the prime supplier to Nvidia, as Samsung has had approval problems. The latest operating profit data for Samsung suggest that the company is now delivering HBM to Nvidia or other companies, and the HMB supply situation is likely more relaxed.

GPU/CPU Supply

TSMC manufactures almost all of the processing and networking dies. The company recently reported record revenue for Q2-24 but is not yet at maximum capacity. CoWoS is the only area that is still limited, but TSMC is adding significant capacity every quarter, and it will not impact the key players in the Data Center supply chain.

Also, the monthly revenue for July was a new record.

While nothing has been revealed about the July revenue, it is likely still driven by TSMC’s High-Performance Computing business, which supplies mainly to the data center.

The HPC business added $3B without revealing the customer or the background of the company. As Apple used to be the only 3nm customer and normally buys less in Q2, it looks like it is a new 3nm customer and that is most likely a Datacenter supplier.

It could be one of the Cloud Companies that all are trying to leverage their own architectures. Amazon is very active with Trainium, Inferential and Graviton while Google has the TPU.

Also, Lunar Lake from Intel and the MI series from AMD could be candidates. With Nvidia’s Blackwell issues, the company stays on 4nm (5nm) until Rubin is ready to launch.

The possibility of Apple starting using M-series processors in their data centers is also possible.

The TSMC revenue increase is undoubtedly good news for the Data center market, which will continue growing in Q3, no matter what opinions investment banks have on the ROI of AI.

The Demand Side of the Equation

The AI revolution has caused the explosive growth in Data center computing. Analysing Nvidia’s current customer base, gives an idea of the different demand channels driving the growth.

2/3 of the demand is driven by the large Tech companies in Cloud and consumer, where the last third is more fragmented around Enterprise, Sovereign and Supercomputing. The last two are not really driven from a short term ROI perspective and will not suddenly disappear.

A number of Banks and financial institutions have recently questioned the investment of the large tech companies into AI which have cause the recent bear run in the stock market.

I am not one to run with conspiracy theories but it is well known that volatility is good for banking business. I also know that the banks have no clue about the long term return in AI, just like me, so I will continue to follow the facts, while the markets go up and down.

The primary source of funding for the AI boom will continue to be the large tech companies

Tech CapEx

5 companies represent the bulk of the CapEx that flows to the Data Center Processing market.

It is almost like the financial community treats the entire CapEx for the large Cloud customers as a brand new investment into a doubtfull AI business model. The reality is that the Datacenter investment is not new and it is creating tangible revenue streams at the same time as doubling as an AI investment.

From a growth perspective and using a startpoint from before the AI boom, it becomes clear that the datacenter investment growth actually follows the growth of the cloud revenue growth.

While I will let other people decide if that is a good return on investment, the CapEx growth compared to Cloud revenue growth does not look insane. That might happen later but right now it can certainly be defended.

The next question is, how much processing candy the large cloud companies can get for their CapEx?

The processing share of total capex is certainly increasing although the capex also have increased significantly since the AI boom started. It is worth noting that the new AI servers delivers significantly more performance that the earlier CPU only servers that traditionally has been used in the data centers.

The Q2 increase in CapEx is a good sign for the Datacenter Processing companies. It represents a 8.3B$ increase in CapEx for top 5. This can be compared with a 4.3B$ increase in Processing and Networking revenue for the Semiconductor companies.

What is even better is that the CapEx commitment from the large cloud companies will continue for the foreseeable future. Alphabet, Meta and Amazon will have higher CapEx budgets in 2nd half and Meta will have significantly higher CapEx in 2025.

Microsoft revealed that even though almost all the CapEx is AI and data center related, around half of the current CapEx is used for land and buildings. These are boxes that needs to be filled with loads of expensive AI GPU servers later and a strong commitment to long term CapEx.

Conclusion

While the current valuations and share price fluctuations might be insane, the Semiconductor side of the equation is high growth but not crazy. It is alive and vibrant.

Nvidia might have issues with Blackwell but can keep selling H100 instead. AMD and Intel will start to chip away at Nvidia but it has not happened yet. Cloud companies will also start to sneak in their architectures.

The supply chain looks better aligned to serve the new AI driven business with improved supply of memory although advanced packaging might be tight still.

TSMC has rapidly increasing HPC revenue that is a good sign for the next revenue season.

The CapEx from the large cloud companies is growing in line with their cloud revenue and all have committed to strong CapEx budgets for the next 2 to 6 quarters.

In a few weeks, Nvidia will start the Data Center Processing earnings circus once again. I will have my popcorn ready.

In the Meta call, the ROI on AI was addressed with two buckets: Core AI where a ROI view is relevant and a Gen AI that is a long term bet where ROI does not make sense to talk about yet.

Also Read:

TSMC’s Business Update and Launch of a New Strategy

Has ASML Reached the Great Wall of China

Will Semiconductor earnings live up to the Investor hype?


Podcast EP240: Challenges and Strategies to Address New Embedded Memory Architectures with Mark Han

Podcast EP240: Challenges and Strategies to Address New Embedded Memory Architectures with Mark Han
by Daniel Nenni on 08-09-2024 at 10:00 am

Dan is joined by Dr. Mark Han, Vice President of R&D Engineering for Circuit Simulation at Synopsys. Mark leads a team of over 300 engineers in developing cutting-edge advanced circuit simulation and transistor-level sign-off products, including characterization and static timing analysis. With 27 years of industry experience, he has a proven track record of driving innovation and growth.

Dan discusses the changing landscape of embedded memory architectures with Mark. High-Bandwidth Memory (HBM) stacks are becoming much more prevalent in semiconductor system design, thanks in part to the substantial demands for high volume and high speed data management required by AI applications.

Mark discusses the ways this type of memory is different from traditional embedded technologies. He discusses the design and verification challenges presented by HBM-based designs. New challenges associated with heat dissipation and mechanical stability are also explored.

Mark describes how Synopsys is using its unique full stack of EDA tools, from TCAD to system architecture to address the growing demands of new memory architectures. He discusses innovations in both speed and accuracy for the Synopsys simulation tools that are making a difference in the design of advanced systems.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.


CEO Interview: Yogish Kode of Glide Systems

CEO Interview: Yogish Kode of Glide Systems
by Daniel Nenni on 08-09-2024 at 6:00 am

Yogish Kode

Yogish Kode is a senior solutions architect with substantial experience in product lifecycle management for over 20 years. His focus has been on semiconductor PLM and IP management. Prior to founding Glide Systems, he was a global solutions architect at Dassault Systèmes, an IT lead at Xilinx, and a senior programmer/analyst at SDRC (now part of Siemens).

Yogish Kode

Yogish has a passion for product lifecycle management and the impact that an optimized solution can have on the enterprise.

He holds a Professional Certificate in architecture and systems engineering from MIT xPRO and a Master’s Degree in industrial engineering with a minor in computer science from the University of Minnesota.

Tell us about your company

Glide Systems specializes in advanced lifecycle management solutions, optimizing product development from inception to disposal with our cloud-native platform, Glide SysLM. Our adaptive data modeling capabilities and seamless integration of hardware, electrical, electronics, and software domains ensure robust traceability and efficient data flow throughout the product lifecycle.

The key features of Glide SysLM include a comprehensive, cloud-native solution integrating all phases of the product lifecycle. Thanks to our unified platform for hardware, electrical, electronics, and software domains we can deliver a seamless data flow. Our adaptive data modeling capabilities facilitate dynamic alignment with business needs and industry standards.

The bottom line is we reduce implementation costs by 30% to 50%, accelerate time-to-market, and enhance operational efficiencies, delivering substantial cost savings and faster product launches for our customers.

What problems are you solving?

Global manufacturing companies struggle to adopt digital solutions because of siloed enterprise applications and a lack of industry-specific solutions for product design data management. This usually results in extensive customization of generic products.

During my years of implementing PLM solutions, I observed many acute performance and usability issues. The lack of industry specific data platforms required companies to purchase off-the-shelf solutions and customize, resulting in huge consulting, services, and upgrade costs. The effort involved to develop an effective, integrated solution was far too time-consuming and costly.

I felt there was a better way, and that led me to try a new approach with a major semiconductor company. The new approach was successful with enhanced productivity, so I formed Glide Systems to productize the strategies I had uncovered and make the technology broadly available.

What application areas are your strongest?

Our initial focus is the semiconductor industry. The current product is optimized for the unique challenges of semiconductor design – things like IP lifecycle management, operations BOM management and implementation of a digital thread.

Our semiconductor IP management solution addresses IP catalog, managing hierarchical IP bill of material, versioning, hierarchical defect management, and impact analysis. Our performant hierarchical defect management and rollups features are crucial for effectively dispositioning defects against a system-on-chip before tapeout, providing engineers with rapid, comprehensive information and an intuitive UI that enhances both performance and usability, streamlining the debugging process and accelerating time-to-market.

Our operations bill of material solution enables seamless management of the entire process from raw wafer to the finished chip, ensuring compliance with the supplier network and supplier qualifications.

Different teams often use disparate tools, leading to siloed data and fragmented workflows. For example, requirements might be managed in Jama, user stories in Jira, test cases in Verisium, source code control in GitHub, GitLab, or Subversion, and issue management in Jira. Despite these tools being semantically connected, their isolated nature can complicate traceability and integration. Our platform addresses this challenge by seamlessly integrating any application with REST APIs within 2-3 weeks, rather than several months. This integration provides comprehensive traceability across all applications, ensuring cohesive and efficient project management.  

The core technology can be applied to many markets and processes. Going forward, we will diversify into markets such as medical devices, automotive, and aerospace.

What keeps your customers up at night?

In a word, visibility. Disparate enterprise applications lead to fragmented data, inefficiencies, and increased operational costs due to the lack of integration and seamless data flow across departments. The lack of enterprise-wide visibility for complex product development projects can cause substantial time delays, cost overruns and even result in ineffective products.

There is also a growing list of standards that products must adhere to. ISO26262 in the automotive industry is just one example. Tracking and documenting compliance with these standards becomes another major challenge.

Let me cite just two examples from 2022 Functional Verification Study, published by Wilson Research Group and Siemens EDA:

  • 66 percent of ASIC projects are behind schedule, with 27% behind by 30% or more.
  • 76% of ASICs require two or more respins.

What does the competitive landscape look like and how do you differentiate?

Large public companies offer broad, generic and costly solutions that do not integrate well with the complex electronic system flow. In this context, one size does not fit all. Smaller companies focus more on the problem at hand. These organizations offer solutions for semiconductor design, but they tend to be very limited in scope.

So, the options are either invest time and consulting money to attempt to integrate broad-based solutions into the electronic system flow or purchase multiple products from more narrowly focused companies and again attempt to integrate the collection of tools into the electronic system flow.

Glide SysLM offers an out-of-the-box solution that integrates with all phases of the electronic system flow, so all requirements are covered. And thanks to our no-code technology, fine-tuning the application to the specific needs of each customer can be done quickly and easily.

What new features/technology are you working on?

We have plans to enhance the current release across three major axes:

  • Unified Product Data Ecosystem: Seamlessly integrate with a growing list of industrial IoT devices, systems, and enterprise applications.
  • Sustainability: Track carbon footprint, resource usage, material compliance. Manage recycling, reusability, and end-of-life strategies.
  • Advanced Analytics and AI: Leverage advanced analytics, machine learning, and AI to derive actionable insights from vast amounts of lifecycle data.

How do customers normally engage with your company?

You can reach us at sales@glidesystemsinc.com. You can also contact us and request a demo through our website at https://www.glidesystemsinc.com/#contact-us.

Also Read:

CEO Interview: Orr Danon of Hailo

CEO Interview: David Heard of Infinera

CEO Interview: Dr. Matthew Putman of Nanotronics


Design Automation Conference #61 Results

Design Automation Conference #61 Results
by Daniel Nenni on 08-08-2024 at 10:00 am

IMG 3273

This was my 40th Design Automation Conference and based on my follow-up conversations inside the semiconductor ecosystem it did not disappoint. The gauge I use for exhibitors is “qualified customer engagements” that may result in the sale of their products. This DAC was the best for that metric since the pandemic, absolutely.

The official numbers are out and support that sentiment:

DAC 2024 reported a remarkable 34% increase in paper submissions for the Research Track and a 32% increase in submissions for the Engineering Track, highlighting the rapid pace of innovation and the growing interest in the field. Additionally, AI sessions now constitute 13% of the conference, reflecting the rising importance of artificial intelligence in electronic design.

Conference attendance also jumped 8% compared to the previous year, as organizers welcomed a vibrant and diverse group of participants from academia, industry, and government sectors. This year’s event hosted 25 new first-time exhibitors, adding fresh perspectives and innovations to the exhibition floor.

DAC returns to San Francisco, June 22 – 26, 2025.  The call for papers and presentations will open October 1, 2024.

Preliminary figures for DAC 2024 in San Francisco:

  • Full Conference & Engineering Track passes: 2,240
  • I LOVE DAC passes: 2,338
  • Exhibitors’ booth staff: 1,708

Total Attendee Registration: 6,286

Personally, I am disappointed it is in San Francisco again next year. If the organizers wanted to pump up the attendance numbers they should have it in San Jose or Santa Clara. In previous years Southern California locations (Orange County and San Diego) were really good as well. Even better, DAC should start traveling the U.S. again. The two DACs in New Orleans were crazy!

My first DAC was in Albuquerque, New Mexico which was very early for the EDA industry. In fact, I don’t think any of the EDA companies that exhibited then exist today. The next year it was in Las Vegas and that was a very big year for “networking”.  As they say, location, location, location.

I also think partnering with other conferences is a good idea. I don’t think collocating with Semicon West worked out as planned. It really is two different audiences. I attend both so this is my personal experience, observation, opinion.

I think partnering with the RISC-V ecosystem would be great. There is some good overlap and it would be a great addition and might encourage Arm to get back into DAC. IP has always been a popular category on SemiWiki so DAC should get more aggressive about IP exhibitor recruitment.

It is a shame the foundries abandoned DAC. Samsung Foundry dropped out this year and I expect Intel Foundry to drop out next year. It was a glorious time when TSMC, GlobalFoundries, UMC and even SMIC were at DAC. The foundry business really is the cornerstone of semiconductor design. Hopefully some of the boutique foundries without events of their own can come aboard the DAC train.

And yes, the big EDA companies are downsizing at DAC. I get this since they have their own events. CDNLive was excellent this year as was SNUG. In my opinion this presents more opportunity for the rest of the ecosystem, more customer engagement time.

I was on the fence about advising companies to exhibit at DAC this year and I regret that. Next year however I am fully behind it. The amount of qualified customer engagements at #61 DAC justifies it, absolutely.

About DAC
DAC, The Chips to Systems Conference (previously known as the Design Automation Conference) is recognized as the premier event for the design and design automation of electronic systems and circuits. A diverse worldwide community representing more than 1,000 organizations attends each year, represented by system designers and architects, logic and circuit designers, validation engineers, CAD managers, senior managers and executives to researchers and academicians from leading universities. Over 60 technical sessions selected by a committee of electronic design experts offer information on recent developments and trends, management practices and new products, methodologies and technologies. A highlight of DAC is its exhibition and suite area with approximately 150 of the leading and emerging EDA, silicon, intellectual property (IP) and design services providers. The conference is sponsored by the Association for Computing Machinery (ACM) and the Institute of Electrical and Electronics Engineers (IEEE) and is supported by ACM’s Special Interest Group on Design Automation (ACM SIGDA).

Also Read:

proteanTecs Introduces a Safety Monitoring Solution #61DAC

CAST, a Small Company with a Large Impact on Many Growth Markets #61DAC

Perforce IP and Design Data Management #61DAC


Application-Specific Lithography: Patterning 5nm 5.5-Track Metal by DUV

Application-Specific Lithography: Patterning 5nm 5.5-Track Metal by DUV
by Fred Chen on 08-08-2024 at 6:00 am

Application Specific Lithography I

At IEDM 2019, TSMC revealed two versions of 5nm standard cell layouts: a 5.5-track DUV-patterned version and a 6-track EUV-patterned version [1]. Although the metal pitches were not explicitly stated, later analyses of a 5nm product, namely, Apple’s A15 Bionic chip, revealed a cell height of 210 nm [2]. For the 6-track cell, this indicates a metal track pitch of 35 nm, while for the 5.5-track cell, the pitch is 38 nm (Figure 1). Just a 3 nm difference in pitch matters a lot for the patterning approach. As will be shown below, choosing the 5.5-track cell for DUV patterning makes a lot of sense.

Figure 1. 210 nm cell height means 38 nm track pitch for 5.5 tracks (left) or 35 nm track pitch for 6 tracks (left).

Extending the 7nm DUV Approach to 5nm

The 5.5-track metal pitch of 38 nm is at the limit of DUV double patterning. It can therefore reuse the same approach used in 7nm, where the 6-track cell metal pitch was 40 nm [3]. This can be as simple as self-aligned double patterning followed by two self-aligned cut blocks, one for each material to be etched (core or gap) (Figure 2). The minimum pitch of the cut blocks (for each material) is 76 nm, allowing a single exposure.

Figure 2. SADP followed by two self-aligned cut blocks (one for the core material, one for the gap material). Process sequence from left to right: (i) SADP (core lithography followed by spacer deposition and etchback, and gapfill; (ii) cut block lithography for exposing gap material to be etched; (iii) refill of cut block for gap material; (iv) cut block lithography for exposing core material to be etched; (v) refill of cut block for core material. Self-aligned vias (not shown) may be partially etched after the block formation [4].

In lieu of SADP, SALELE [5] may be used instead. This would add an extra mask for the gap material, resulting in a total of four mask exposures needed.

Going Below 38 nm Pitch: Hitting the Multipatterning Barrier

For the 3nm node, it is expected that the metal track pitch will go below 30 nm [6]. Any pitch below 38 nm would entail the use of substantially more DUV multipatterning [7]. Yet a comparable amount of multipatterning could also be expected even for EUV, as the minimum pitch from photoelectron spread can be effectively 40-50 nm for a typical EUV resist [8,9]. The edge definition for a 25 nm half-pitch 60 mJ/cm2 exposure is heavily affected by both the photon shot noise and the photoelectron spread (Figure 3).

Figure 3. 25 nm half-pitch electron distribution image exposed with an incident EUV dose of 60 mJ/cm2 (13 mJ/cm2 absorbed), with a 7.5 nm Gaussian blur to represent the electron spread function given in ref. [9]. A 1 nm pixel is used, with 4 secondary electrons per photoelectron.

5nm For All?

The 5.5-track cell provides an easy migration path from 7nm to 5nm using DUV double patterning. Potentially, this is one of the easier ways for Chinese companies to catch up at 5nm, although clearly that would be as far as they can take it.

References

[1] G. Yeap et al., IEDM 2019, Figure 5.

[2] https://www.angstronomics.com/p/the-truth-of-tsmc-5nm

[3] https://fuse.wikichip.org/news/2408/tsmc-7nm-hd-and-hp-cells-2nd-gen-7nm-and-the-snapdragon-855-dtco/#google_vignette

[4] F. Chen, Self-Aligned Block Redistribution and Expansion for Improving Multipatterning Productivity, https://www.linkedin.com/pulse/self-aligned-block-redistribution-expansion-improving-frederick-chen-rgnwc/

[5] Y. Drissi et al., Proc. SPIE 10962, 109620V (2019).

[6] https://fuse.wikichip.org/news/7375/tsmc-n3-and-challenges-ahead/

[7] F. Chen, Extension of DUV Multipatterning Toward 3nm, https://semiwiki.com/lithography/336182-extension-of-duv-multipatterning-toward-3nm/, https://www.linkedin.com/pulse/extension-duv-multipatterning-toward-3nm-frederick-chen/

[8] F. Chen, Why NA is Not Relevant to Resolution in EUV Lithography, https://www.linkedin.com/pulse/why-na-relevant-resolution-euv-lithography-frederick-chen-ytnoc, https://semiwiki.com/lithography/344672-why-na-is-not-relevant-to-resolution-in-euv-lithography/

[9] T. Kozawa et al., JVST B 25, 2481 (2007).

Also Read:

Why NA is Not Relevant to Resolution in EUV Lithography

Intel High NA Adoption

Huawei’s and SMIC’s Requirement for 5nm Production: Improving Multipatterning Productivity


Podcast EP239: The Future of Verification for Advanced Systems with Dave Kelf

Podcast EP239: The Future of Verification for Advanced Systems with Dave Kelf
by Daniel Nenni on 08-07-2024 at 8:00 am

Dan is joined by Dave Kelf, CEO of Breker Verification Systems, whose product portfolio solves challenges across the functional verification process for large, complex semiconductors. Dave has deep experience with semiconductor design and verification with management and executive level positions at Cadence, Synopsys, Novas, OneSpin, and now Breker.

Dave explores the future of automated verification with Dan. He discusses the illusive executable specification and how Breker is providing a way to use this type of technology to automate the semiconductor verification process,

The various applications of AI and generative models to the verification challenge are also discussed, along with an assessment of how the RISC-V movement is impacting system design.

The views, thoughts, and opinions expressed in these podcasts belong solely to the speaker, and not to the speaker’s employer, organization, committee or any other group or individual.


The Future of Logic Equivalence Checking

The Future of Logic Equivalence Checking
by Bernard Murphy on 08-07-2024 at 6:00 am

LEC concept

Logic equivalence checking (LEC) is an automated process to verify that modified versions of a design evolving through implementation remain logically equivalent to the functionally signed-off RTL. This becomes important when accounting for retiming optimizations and for necessary implementation-stage ECOs which must be proven not to break equivalence. LEC eliminates the need to rerun expensive functional verification cycles, impossible to contemplate as tapeout deadlines approach.

The scalability problem for LEC

To provide a signoff-worthy guarantee  that a circuit modified in implementation is logically equivalent to the unmodified version, LEC must provide absolute certainty in its results. The only way to do that is through formal analysis. LEC programs in production today have become so effective in this analysis that their use is now mandatory in final signoff.

However these methods are not without their challenges. First, expanding design complexities are a problem for LEC as much as for any other design automation tool. According to David Stratman (Product Management Director at Cadence), the blocks to which this technology is applied (5-10 million instances today) are 100X larger than in the early days of LEC. Advanced synthesis options back then were used on maybe 1-2 critical blocks, whereas now they are used everywhere in the design. Power domains, which complicate equivalence checking, are everywhere. And functional ECO counts have grown by 100X (among other reasons no doubt for post-P&R CDC fixes). However, while LEC was the first formal technology to see mainstream adoption in chip design, the fundamentals of the underlying formal methods haven’t changed much over that period.

ML-based optimizations add scalability by intelligently partitioning designs for massive parallelization and by orchestrating proofs. This is formal analysis after all, with multiple options for proof algorithms (BDD, SAT in multiple flavors) together with multiple options to simplify proofs, techniques familiar in the property checking world to bound complex problems. Still, even with these accelerators LEC analyses on large blocks can now run for multiple days.

Another scalability problem is related to “aborts”. LEC isn’t perfect and from time to time can run into instances where it is unable to conclude that sub-circuit comparisons match or don’t match. There can be multiple reasons for an abort: complexity of the sub-circuit under comparison (formal methods don’t like problems which get too big), or aggressive synthesis/PPA optimizations creating big structural differences between the RTL and the post-synthesis circuit, especially in datapath elements. It’s worth remembering that such elements are no longer constrained to ALUs. Much of design innovation today revolves around datapath components in more diverse applications: in the MAC arrays common in AI accelerators, in wireless basebands in phones and wireless infrastructure for MIMO management, and in headphones and earbuds for 3D audio.

The issue here is that each abort must be debugged manually; you can’t sign off a design with open aborts. That debug cycle can easily consume more time than the LEC run itself. Some solutions suggest extracting logic cones around the problem area in hopes that an equivalence run may be more successful when working on a smaller circuit without surrounding logic. But this is a temporary hack. Alternatively, synthesis options may need to be dialed back to prioritize verification over circuit optimization. David describes such cases as “LEC-ability problems”. Making such choices up-front while minimally compromising PPA optimization requires designer insight and experience. Given these tradeoffs, LEC must now be considered an additional cost function in the implementation process.

Learning through the evolution of a design project

A designer or team of designers learns what works and what causes problems as they run analyses through the course of a project and between projects. That isn’t the case for a typical EDA analysis tool, which is optimized to be effective within a single analysis run or group of related runs such as an ML orchestration but otherwise remembers nothing between runs.

AI is a natural way to address this need by learning through project evolution, in part perhaps to reduce runtimes through insight into what does not need to be redundantly re-checked. Such learning can also infer how to grade ECOs up-front for LEC-ability, and then within an optimization framework to automatically implement those changes. Clearly such a capability would not be as basic as the wrappers offered by current ML orchestration flows (though those will continue to be valuable). A project-scope AI must be supported by a project-scope learning database able to support exhaustive exploration of equivalence-based recipes to identify and optimize functional ECOs based on changes in RTL.

One thing that stands out for me here is how the center of design optimization must shift from isolated tool analysis (in this context LEC) to a central AI-based optimization framework surveying variations in a cost function across a multi-dimensional state space (LEC, STA, DRC, …). Shades of multiphysics analysis, but here multianalytics 😀

The future of logic equivalence checking must account for these fundamental shifts, in new design trends and in higher efficiency in support of the architectures underpinning those trends. A role in generative AI approaches in design optimization seems like a natural direction.


Aniah and Electrical Rule Checking (ERC) #61DAC

Aniah and Electrical Rule Checking (ERC) #61DAC
by Daniel Payne on 08-06-2024 at 10:00 am

Aniah #61DAC min

Visiting a new EDA vendor at #61DAC is always a treat, because much innovation comes from the start-up companies, instead of the established big four EDA companies. I met with Vincent Bligny, Founder and CEO of Aniah on Wednesday in their booth, to hear about what they are doing differently in EDA. Mr. Bligny has a background working at STMicroelectronics and started Aniah for Electrical Rules Checking (ERC) in 2019. Their tool is called OneCheck, and it operates on transistor-level netlists like CDL formats, then reports on several issues like: domain crossings, floating gates, electrical overstress, diode leakage, conditional HiZ nodes, ESD, and missing level shifters between voltage domains.

Aniah at #61DAC

The OneCheck tool has a UI that enables an IC designer to load a netlist and get analysis results quickly. I heard that the Calibre PERC tool required 2 days to load and analyze a customer design, but OneCheck was much quicker, taking only few minutes. Vincent showed me a live demo running on a laptop where the design had 19 million transistors, and it was for a camera sensor chip. OneCheck detected all the different power regions, then completed all analysis in under 5 seconds. Initial results reported 2,257 missing level shifters in the netlist, and the errors can be clustered by priority then root cause. In general, there are four categories of errors:

  • CMOS logic
  • Mixed-signal topologies
  • Complex propagation paths
  • False errors

The root cause of the 2,257 errors was a CMOS inverter, under a specific power scenario, so clicking on this error in the GUI automatically created a schematic showing the propagation path. Engineers can continue to navigate forward or backward through the auto-generated schematic to understand the context of each issue reported. Vincent then cross-probed with Cadence Virtuoso Schematic Editor to better see the issue identified.

Inside of the OneCheck GUI you can use it in Standard or Advanced mode, where Advanced mode allows one to cluster errors by property, like voltage, then filtering by cell names. Users can make all circuit properties visible, making it easier to pinpoint and fix each issue found. There are many pre-packaged checked for all types of design on processes from .25um BCD to 3 nm CMOS, and engineers can develop and code their own unique checks to identify trouble topologies. Most checks take about 5-10 lines of code. Stay tuned for using Python functions to write your own checks, it’s coming soon.

Analyzing all electrical errors for IP blocks, sub-systems and SoC at the top-level really require an automated approach, because you cannot have circuit designers manually look at netlists to enforce best practices. If your electrical rule checking tool is producing too many false errors, then you’re wasting valuable time. The secret sauce with Aniah is the use of formal technology during analysis of the transistor-level netlists, thus reducing false errors and speeding the identification of root causes.

Aniah has attended several events recently: CadenceLIVE Taiwan, DVCon, DATE 2024. You can learn more at their next webinar, September 26th, 9:00AM – 10:00AM PDT, hosted by SemiWiki. Distribution partners include Saphirus in the US, Kaviaz Technology in Taiwan, and Lomicro in China, Micon Global in Europe and Israel.

Summary

My engineering background is transistor-level circuit design, so viewing what Aniah has done with OneCheck was quite encouraging. Quickly identifying circuit design issues with a minimum of false errors by using formal techniques looks very promising and improves first silicon success. The speed of OneCheck running on a laptop was also impressively fast, while most EDA vendors don’t even run their tools live at DAC any more.

Related Blogs


Writing Better Code More Quickly with an IDE and Linting

Writing Better Code More Quickly with an IDE and Linting
by Tom Anderson on 08-06-2024 at 6:00 am

Lightmatter

As a technical marketing consultant, I always enjoy the chance to talk to hands-on users of my clients’ electronic design automation (EDA) tools to “see how the sausage is made” on actual projects. Cristian Amitroaie, CEO of AMIQ EDA, recently connected me with Verification and Infrastructure Manager Dan Cohen and Verification Engineers Xiyu Wang and Nick Sarkis from Lightmatter. I had the pleasure of speaking with them about their experiences using AMIQ EDA products.

Can you please tell us a bit about your company and your projects?
We specialize in developing advanced computing solutions using photonic technology. We have projects focusing on data transport and optical processors that leverage the unique properties of light to achieve high-performance computing with significantly lower power consumption.

So this involves designing some big chips?
That’s for sure! At the heart of our solution are huge chips with a great deal of both digital and analog content. They present an interesting verification challenge with some unique features, so using the best tools helps us move quickly with a fairly small team.

What led you to look to AMIQ EDA for help with your verification?
Lightmatter: Several members of our team used DVT Eclipse IDE and Verissimo SystemVerilog Linter at our previous companies, so we planned to use it here as well. Verissimo is the only tool that can effectively lint UVM code. We use the DVT editor extensively, and it’s tightly linked with the linter. All of our team now uses the DVT IDE for VS Code, although some of us were Eclipse based in the past.

How do DVT IDE and Verissimo fit into your process?
We encourage everyone to write their code in the IDE and to run lint periodically. In addition, we automatically run Verissimo whenever an engineer pushes new or changed code into our Git repository. We don’t accept code into the build of our verification environment until it passes the lint checks. We don’t ask for a manual code review until after a clean lint run. We don’t want to waste our time finding typos, style differences, or well-known language pitfalls when Verissimo can find these.

How have the engineers responded to this process?
Every member of the verification team (ten and growing!) has embraced the IDE and linter. Our observation is that engineers don’t mind having style rules enforced by a machine. Having a single set of rules that everyone must follow saves a lot of time and effort. This is especially true since we hire engineers from many different companies; the AMIQ tools help us quickly align them to a common coding style. This also keeps the humans focused on finding high level issues in the code, rather than focusing on style.

Do the hardware designers also use the tools?
Our more forward-thinking designers do, and we encourage them all to try the tools. Not linting parts of the RTL code is missing opportunities to catch design bugs early. Personally, I can’t imagine writing SystemVerilog with only the simple checks available in Vim/vi or Emacs.

Can you describe some of the benefits provided by the tools?
Verissimo has caught some tricky variable bit width issues where a macro hid that only the lowest order bit was being compared. Both DVT IDE  and Verissimo also save a lot of time. In the past, we found that when we wrote or edited code we spent too much time in a compile-debug-fix loop until it was clean. This happened hundreds, maybe thousands, of times on every project. With the ability to find and fix errors interactively within the IDE, that loop is significantly shorter. We get great team style alignment, with more efficient code reviews. So clearly we save many weeks of effort.

Are there any additional features you would like in the tools?
There are some specific things we’ve asked AMIQ to add, such as the ability to use relative path names in compiler waiver include statements. We’ve also asked them to increase their support for the Verilog-A language, which we use in the testbench for the analog and mixed signal (AMS) portions of our design. In addition, we’d like more flexibility in applying style rules and guidelines.

What are your plans going forward?
We’ve used DVT IDE and Verissimo on every project at Lightmatter, and that’s not going to change. We know that AMIQ constantly adds new lint rules, and we need to review these and decide which ones we want to enable. We have found that some rules, such as those for whitespace and length restrictions, are only marginally useful and take too much time to resolve. We also intend to investigate some new Verissimo auto-correct features and the Specador documentation generator when we have time.

How was your experience working with AMIQ EDA?
They’ve been great to work with. We push our EDA vendors hard, and the folks at AMIQ have been amazing, always responsive.

Is there anything else you’d like to add?
We are surprised that so many engineers in the industry are still using crusty old editors like Vim or Emacs when there is such a productivity gain from using a modern editor with DVT. It seems that some engineers are more likely to change their company, spouse, or country than their editor. We’re not about to give relationship advice, but it’s definitely time to revisit the editor you are using if you haven’t looked at DVT IDE. Verissimo goes hand in hand with the IDE by automating code reviews and allowing you to focus on the important issues.

Engineers join us because they are excited to work on new technology. We need a great working environment to keep them engaged. We have a state of the art setup with a lot of automation and the freedom to deploy the resources they need. If you’re an engineer looking to leave behind a fossilized environment and a team that still uses tools from the 90s, then please look at our job postings!

Thank you for your time and your insights.
Thank you for the opportunity to share a bit about our flows and company.

Also Read:

AMIQ EDA Integrated Development Environment #61DAC

AMIQ EDA at the 2024 Design Automation Conference

Handling Preprocessed Files in a Hardware IDE


3D IC Design Ecosystem Panel at #61DAC

3D IC Design Ecosystem Panel at #61DAC
by Daniel Payne on 08-05-2024 at 10:00 am

bits per joule min

At #61DAC our very own Daniel Nenni from SemiWiki moderated an informative panel discussion on the topic of 3D IC Design Ecosystem. Panelists included: Deepak Kulkarni – AMD, Lalitha Immaneni – Intel Foundry, Trupti Deshpande – Qualcomm, Rob Aitken – CHIPS, Puneet Gupta – UCLA, Dragomir Milojevic – imec. Each panelist had a brief opening statement, then Daniel guided them through a series of questions, so I’ll paraphrase what I learned.

Deepak Kulkarni, AMD – there are big challenges in AI and the data centers caused by power consumption, because it’s taking megawatts to train a model with a trillion parameters over 30 days, and power projections of 100MW to train 100 trillion parameters.

For 3.5D packaging the motivation is improved power efficiency, where 3D Hybrid bonding has the densest and most power-efficient chiplet interconnect. Using 2.5D helps package HBM and compute together, and the goal is better system-level efficiency.

Power Efficiency. Source: AMD

 

Lalitha Immaneni, Intel Foundry – We’re taking a systems approach to integrating 3D IC products and architected the first Chiplets at Intel, we want to move to a CAD agnostic tool flow.  To improve our architecture, we need System Technology Co-Optimization (STCO), allowing all of the silicon-package-board trade-offs, so this is a multi-disciplinary task. We are combining key partners in industry and academia to collaborate, then we will pick the best point tools with data flowing through them, and we need a digital twin to help optimize our goals.

System approach to 3D IC, Source: Intel

Trupti Deshpande, Qualcomm – How do I co-optimize and shift-left? Through early analysis, and we want to use the best tools, and stay EDA vendor-agnostic, to tackle this multi-physics challenge.

Co-design and co-optimization challenges, Source: Qualcomm

Rob Aitken, CHIPS – recently joined and came from Synopsys. 3D stacked die is inevitable, just look at the analogy to cities as they are similar to IC challenges. The transportation bottleneck at Moscone is the escalators. 3D Stacked die has similar challenges, vertical and thermal issues, new EDA requirements, and the bandwidth requirements are going up, so how do we solve all these challenges simultaneously?

Punnet Gupta, UC Los Angeles – Where are the system bottlenecks, hardware or software?

Software improvements can sometimes be larger than hardware improvements. We expect chiplets to be the next IP approach, and the chiplets must be large enough to be practical. Right now, the average chiplet is about 100mm2 to be economically feasible, so not tiny sizes.

Cost vs Chiplet Size, Source: UCLA

Dragomir Milojevic, IMEC – Looking at the scaling roadmap for CMOS it is slowing down, so multi-layered ICs are the future, let’s call it CMOS 2.0, where STCO is the new challenge.

3D-IC Cross-section, Source: imec

Q&A

Q: How do you make the connections between 3D IC layers?

Dragomir – It’s with layer-to-layer wires, not monolithic wires.

Q: What is the motivation for Chiplets? AMD and Intel have had Chiplets for 7 years. For 3DIC what is the best method?

Rob –It’s the pressure from AI accelerators that drives these approaches, and monolithic chips are reticle size limited. 3D stacking of memory on logic is the easiest place to start. The 3D pressure is relentless, as the reward is so great.

Q: Dan – when did Arm start stacking?

Rob – In the mid-2000s there was a project started at Arm, but soon killed by the CTO.

Dragomir – The early benefits were not cost effective for stacking ICs.

Deepak – The motivations are economic and also the2X performance goals, no other solutions are out there.

Lalitha – We’ve been using traditional organic packages, then HBM, and now new substrates, as HPC and AI segments require new approaches.

Punnet – 3D helps you to get smaller areas for more cost-effective designs

Trupti – Mobile requires a good ROI to be pursued as an approach.

Rob – Airplanes require a retrofit to fit new equipment into the existing cabinet space, so less cost constrained approaches are welcomed.

Q: Dan – TSMC entered packaging 15 years ago, and Intel has also opened their packaging to customers. How will this work out?

Rob – The new packaging technology advances inside of IDM companies and the foundries.

Lalitha – There’s no limit to bridges added for EMIB by Intel Foundry, and it’s a huge differentiator.

Q: Dan – How heat will be dealt with?

Dragomir – We’ve done lots of experiments on multi-die stacking, and it’s not as bad as you may think. The speed of circuits dictates both power and thermal, so slowing speeds achieves thermal requirements.

Lalitha – We need to work with material science, heat spreaders, and new thermal cooling technology. There must be an architecture for co-optimization, where we find hot spots in each tile layer, then keep the hot spots apart, requiring a thermal-aware tool flow.

Rob – Through architecting, planning, designing, monitor it while it’s running for thermal, and then tune the voltage to keep thermal within limits.

Puneet – Even photonic circuits are quite sensitive to thermal coupling.

Q: What does an AI accelerator designer need to think about for 3D IC?

Trupti – They need to look at the entire system, not just the pieces, so the power per chiplet, then identify bottlenecks, and even considering mechanical aspects.

Rob – We’re in the early stages of 3D IC design, so we’re not so sure, eventually we will settle on a methodology, say in 10 years.

Lalitha – The boundaries between IC, package and board will merge with co-optimization. Tiles share the same package and substrate, and adding more tiles will add warpage. Silicon to package to platform all need to be co-designed. Better planning makes this process OK.

Deepak – What do I want with my AI accelerator? I want to double compute and double memory every two years to meet my requirements. Bringing compute and memory closer together helps to keep me within the power budget. Networks used to have 10% in data center power, but now its grown to 20%.

Q: Dan – How is power delivery from board to substrate to stack?

Puneet – Yes, power delivery is challenging, and requires backside power delivery in the stack. For thermal reasons, I want my highest power die to be at the top of stack. For delivery, I want highest power to be at the bottom of the stack.

Deepak – The total power delivered to a data center is our goal for reductions. Power at the data center is fixed, so how to get efficient enough through 3D stacking is the challenge.

Dragomir -Backside power delivery is required.

Q: Dan – What is backside power delivery?

Rob – Transistors with metal layers on top have to reach the lower layers. So, backside power comes from the other direction, the bottom of the die.

Dragomir – By putting PDN on the backside it then frees up the top side for interconnect.

Q: Dan – Where is EDA at now to support 3DIC?

Lalitha – The 3D design complexity is growing, so EDA vendors have responded, and we need early estimates from EDA planning tools in the presence of few initial details. We want a lightweight STCO tool for early estimates, then we want to choose multiple vendor tools using agnostic tools.

Q: Dan – The backside power has worse thermal issues for signals on topside routing. How should that be dealt with?

Rob – Yes, there are unintended consequences to backside power. My question is how does it work for EDA vendors to interoperate for 3DIC design flows?

Lalitha – It does work to be EDA agnostic.

Q: Dan – EDA tool companies have full-breadth flows, so how do they make their tools interoperate with competitors?

Deepak – The trend is more package-oriented EDA tools, so we still have to piece together and EDA flow for 3D IC.

Puneet – Don’t break an IP block into multiple layers.

Q: Dan – Every stage needs to change for 3DIC in your EDA tool flows, starting at design entry stages. Are the packages going to segregate into hot and cool regions? What about liquid cooling inside the package?

Deepak – Yes, cooling is an active research area.

Rob – The Aurora machine has power entering one side and a fire hose for cooling on the other side, so yes, liquid cooling makes sense.

Q: Dan – Will there be 200nm pitch used in packages?

Dragomir – Yes, dense interconnects between package layers is coming.

Puneet – The dimensions of pitches in packages are not changing that rapidly.

Rob – With a 200nm pitch it creates challenges for alignment, etc. Existing 3D stacks use memory bandwidths in small areas to maintain active high density, and high yield.

Deepak – 3D stacks more than two dies are limited by TSV interconnects. Two dies face to face are easier to accomplish.

Q: Dan – what about AI and ML trends, are they effecting your jobs today? Any co-pilot tools?

Trupti – We’re not seen many AI/ML tools for our jobs, but maybe a design of experiments would help us out on placement options.

Dragomir – We’re not using AI in STCO today yet for exploration.

Q: Dan – haven’t we been using ML in simulations?

Deepak – Yes, some AI/ML is used during the design phase, but not sign-off stages much yet.

Lalitha – AI/ML can help out in 3D IC testing.

Rob – There are EDA tools with AI/ML now, like for test.

Audience – Siemens has AI/ML in test tools now.

Rob – 10 years ago for image detection problems, the 1’s and 0’s in a test looked like an image, so AI can work for reducing that problem. Make your EDA problem look like something that AI/ML can solve.

Audience – Are my AI/ML results valid and accurate?

Rob – In the 80s we had Expert systems, but they weren’t AI. Is ML really AI?

Puneet – Where is the low-hanging fruit for generative AI? EDA tool documentation is quite poor, so why not use generative AI for documentation, like Copilot.

Q: Dan – What is the future of SoC designs?

Dragomir – On chip optical is one direction, having multiple layers.

Puneet – My optics colleagues say that Terabits/second on a single lane is coming.

Rob – Photonics is an obvious approach for longer distances, while shorter distances are going to use vertical metal connections.

Trupti – It’s the ROI that drives our choices, so academia needs to invent something optical that is cheap and reliable, then we will use it.

Lalitha – Optics still has a way to go.

Deepak – Sooner or later photonics will be used, but the costs are the main issue, pJ/bit is the driver.

Q: Dan – co-optimization and design exploration, what can you do well today? What do you really want to do soon?

Trupti – A lightweight system is wanted for multi-physics exploration, how thermal/power/warpage are co-optimized. Four or five area optimization is what’s really wanted.

Lalitha – We work with Cadence, Siemens and Synopsys on co-optimization for 3DIC, so it is progressing.

Rob – DTCO is working well today, as everyone is talking together, and the decisions per domain are now answered across domains.

Q: Dan – what is the role of standards today, like UCIe?

Lalitha – New standards are critical, and we should learn from the motherboard vendors. Chiplets across different process nodes are not standardized, it’s all manual. The utopia of mixing and matching chiplets will help, so the UCIe spec has all the details in it for a new standard.

Deepak – Standards are critical for Chiplets in UCIe. The shortcoming is more than die to die, so getting out of chiplet is important too.

Rob – Standards come from committees and take too much time, or there are Defacto standards. Most success is through Defacto standards. Existence proofs are much better than committees.

Lalitha – Let’s come up with a test case to help drive standards.

Puneet – New standards need to have open access for free sharing of information, like OpenAccess being free to use.

Q: Dan – What about 3D IC yield?

Dragomir – In research we have come up with ideas and solutions, but not a focus on the cost of 3DIC. Some cost models are built for 3DIC, taking into account yields.

Puneet – Yield and cost are tied closely to test, and the known good die problems, so it all depends on how each layer is tested, but it’s still an open question.

Q: Dan – What are the drivers for the semiconductor industry today? Is it AI?

Dragomir – Smart phones have been drivers for awhile. Many different segments are driving, like medical.

Puneet – AI is a big driver for advanced packaging today.

Rob – AI is driving semiconductor per TSMC (50% HPC and Mobile, both AI-driven).

Trupti – It’s mostly AI and mobile as the semi drivers, along with IoT, driving advanced packaging.

Deepak – AI for data centers and the accelerator market.

Q: Dan – Any comment on timelines for these advancements?

Dragomir – Hybrid bonding and stacking are done technologies. Within one year we will see things better, like DTCO and STCO.

Puneet – 3DIC for low-cost is something we haven’t discussed yet, as we are very focused on the data center today.

Rob – What was leading edge 15 years ago? 45nm. Now, that’s low-end, a mature technology.

Lalitha – EMIB package design kits are available now, and the EDA vendors are using this now. Co-optics and glass substrates are coming. STCO is analysis paralysis for now.

Deepak – Chiplet growth is driving fast, so expect more advanced packaging coming.

Q: Dan – What about sustainability, carbon footprints, dumping old electronics, how will this change the lifecycle?

Dragomir – It used to be that every iPhone lasted 4-5 years, but children’s phones last shorter, so we need more education of consumers for recycling.

Puneet – 3DIC shrinks the footprint for recycling, but there’s no repairability.

Trupti – How about energy efficiency, like for a server farm? Look at energy efficiency goals

Rob – Dumping heat into the atmosphere should be priced and taxed somehow.

Summary

It’s kind of rare that a group of panelists from the semiconductor industry, academia, design, government and research assemble together, but this group at DAC certainly took on the challenges of the emerging 3D IC design ecosystem. There were plenty of questions raised and answered about the current state of the 3D design environment, and the future directions being pursued.

Audience members were actively engaged and asked good questions, even the panelists raised their own questions to get feedback. I was typing at maximum speed to catch the gist of the conversations, and learned much from this gathering.

Related Blogs