Intel has Another First for 14nm Production!

Intel has Another First for 14nm Production!
by Daniel Nenni on 12-10-2014 at 7:00 am

An interesting thing happened while I was researching a slide from Bill Holt’s “Advancing Moore’s Law” presentation at last month’s analyst meeting. Slide #19 mentioned that Intel was the first to use “air gap” dielectric spaces to improve performance in a digital logic flow for microprocessors. I know a certain foundry that … Read More


Variation at IEDM

Variation at IEDM
by Paul McLellan on 12-05-2014 at 7:01 am

IEDM (technically the International Electron Devices Meeting although I’ve never heard anyone use the full name) is in a couple of weeks time, in San Francisco. It is December 15-17th at the Hilton Union Square (which is not actually at Union Square but nearby at 333 O’Farrell Street).

For the last few years on the Tuesday… Read More


What makes the world smart?

What makes the world smart?
by Pawan Fangaria on 11-25-2014 at 4:00 pm

The simple answer is when everything in the world is smart. But if you think deeply, you would find that the continuous progression to make things easy in life is what makes the world smarter day-by-day – the sky is the limit. In the world of computing, consider the 17[SUP]th[/SUP] century era when humanbrain was used as a computer … Read More


Imec and Coventor Partner Up

Imec and Coventor Partner Up
by Paul McLellan on 10-28-2014 at 7:00 am

Today imec and Coventor announced a joint development project for 10nm and 7nm process development. Imec, which is in Leuven Belgium, is a partner with pretty much all the semiconductor companies that are planning work at these advanced nodes. It mostly does pre-competitive research and development. This type of research is … Read More


Proving the Power of Virtual Fabrication

Proving the Power of Virtual Fabrication
by Pawan Fangaria on 10-13-2014 at 7:00 am

There are many facets of our lives that are being driven to a more virtual method of doing things. This is largely due to issues such as scaling due to whatever reason – technical, business, economic. Let’s look at some general cases: In yesteryears people used to travel all the way for face-to-face meetings; today virtual meetings… Read More


MEMS+, Bringing MEMS into the Electronic World

MEMS+, Bringing MEMS into the Electronic World
by Paul McLellan on 09-19-2014 at 1:59 pm

One of the things about MEMS devices is that they almost always live on a chip that also contains the electronics necessary to process the output from the sensor. For example, an on-chip accelerometer for a car airbag deployment will contain the electronics necessary to process the signal from the sensor and end up with something… Read More


SEMulator3D: GlobalFoundries Process Variation Reduction

SEMulator3D: GlobalFoundries Process Variation Reduction
by Paul McLellan on 08-19-2014 at 7:01 am

At SEMICON last month, Rohit Pal of GlobalFoundries gave a presentation on their methodology for reducing process variation. It was titled Cpk Based Variation Reduction: 14nm FinFET Technology.

Capability indices such as Cpk is a commonly used technique to assess the variation maturity of a technology. It looks at a given parameter’s… Read More


Taking a leap forward from TCAD

Taking a leap forward from TCAD
by Pawan Fangaria on 07-26-2014 at 8:00 am

We all know that Technology Computer Aided Design (TCAD) simulations are essential in developing processes for semiconductor manufacturing. From the very nature of these simulations (involving physical structure and corresponding electrical characteristics of a transistor or device), they are predominantly finite-element… Read More


New Release of Semulator3D at #semiconwest

New Release of Semulator3D at #semiconwest
by Paul McLellan on 07-19-2014 at 9:01 am

One of Coventor’s flagship products is SEMulator3D, and at Semicon West they announced a new version, 2014.100.

SEMulator3D is a powerful 3D semiconductor and MEMS process modeling platform. It uses highly efficient physics-driven voxel modeling technology. It models the physical effects of process steps, which is… Read More


Coventor Brings More Accuracy & Performance into Design of MEMS Devices

Coventor Brings More Accuracy & Performance into Design of MEMS Devices
by Pawan Fangaria on 07-06-2014 at 9:00 am

Although MEMS devices in various forms are now found in most electronic devices, predominantly in mobile, automotive, aerospace and many other applications, their major revolution, I believe, is yet to happen. We are seeing rapid innovation in MEMS reflected by their improvements in precision, performance, size reduction,… Read More