Primarius 2B

Successful Inter-Op Verification of Enterprise Flash Controller with ONFI 5.1 PHY IP

Successful Inter-Op Verification of Enterprise Flash Controller with ONFI 5.1 PHY IP
by Kalar Rajendiran on 09-14-2023 at 6:00 am

Mobiveil EFC

In an era defined by digital transformation and data-intensive applications, the solid-state device (SSD) market has emerged as a critical player in reshaping storage solutions. While there are several types of non-volatile memories, each with its own unique characteristics and use cases, Flash memory is increasingly overtaking… Read More


WEBINAR: Understanding TSN and its use cases for Aviation, Aerospace and Defence

WEBINAR: Understanding TSN and its use cases for Aviation, Aerospace and Defence
by Daniel Nenni on 09-13-2023 at 10:00 am

Ethernet TSN Profiles

This webinar will introduce Time-Sensitive Networking (TSN) and unveil how TSN can provide value in aviation, aerospace and defence.

TSN is a new set of standard extensions based on the IEEE 802.1 and IEEE 802.3 Ethernet standards. It is designed to provide deterministic guarantees on Quality of Service (QoS) metrics and reliability… Read More


Scaling LLMs with FPGA acceleration for generative AI

Scaling LLMs with FPGA acceleration for generative AI
by Don Dingee on 09-13-2023 at 6:00 am

Crucial to FPGA acceleration of generative AI is the 2D NoC in the Achronix Speedster 7t

Large language model (LLM) processing dominates many AI discussions today. The broad, rapid adoption of any application often brings an urgent need for scalability. GPU devotees are discovering that where one GPU may execute an LLM well, interconnecting many GPUs often doesn’t scale as hoped since latency starts piling up with… Read More


Soitec is Engineering the Future of the Semiconductor Industry

Soitec is Engineering the Future of the Semiconductor Industry
by Mike Gianfagna on 09-12-2023 at 10:00 am

Soitec is Engineering the Future of the Semiconductor Industry

The crystalline structure of silicon delivers the incredible capabilities that have fueled the exponential increases defined by Moore’s Law. It turns out that silicon in its purest form will fall short at times – power handling and speed are examples. In these cases, adding additional materials to the silicon can enhance its … Read More


Chiplets and IP and the Trust Problem

Chiplets and IP and the Trust Problem
by Bernard Murphy on 09-12-2023 at 6:00 am

Trust min

Perforce recently hosted a webinar on “IP Lifecycle Management for Chiplet-Based SoCs”, presented by Simon Butler, the GM for the Methodics IPLM BU. The central theme was trust, for IPs as much as chiplets. How can an IP/chiplet consumer trust that what they receive has not been compromised somewhere in the value chain from initial… Read More


Synopsys Expands Synopsys.ai EDA Suite with Full-Stack Big Data Analytics Solution

Synopsys Expands Synopsys.ai EDA Suite with Full-Stack Big Data Analytics Solution
by Kalar Rajendiran on 09-11-2023 at 10:00 am

Wafer Circuit Detail

More than two years ago, Synopsys launched its AI-driven design space optimization (DSO.ai) capability. It is part of the company’s Synopsys.ai EDA suite, an outcome of its overarching AI initiative. Since then, DSO.ai has boosted designer productivity and has been leveraged for 270 production tape-outs. DSO.ai uses machine… Read More


Stochastic Model for Acid Diffusion in DUV Chemically Amplified Resists

Stochastic Model for Acid Diffusion in DUV Chemically Amplified Resists
by Fred Chen on 09-11-2023 at 8:00 am

Stochastic Model for Acid Diffusion in DUV Chemically Amplified Resists 1

Recent articles have focused much effort on studying the stochastic behavior of secondary electron exposure of EUV resists [1-4]. Here, we consider the implications of extending similar treatments to DUV lithography.

Basic Model Setup

As before, the model uses pixel-by-pixel calculations of absorbed photon dose, followed… Read More


The TSMC Pivot that Changed the Semiconductor Industry!

The TSMC Pivot that Changed the Semiconductor Industry!
by Daniel Nenni on 09-11-2023 at 6:00 am

Don Brooks Interview 2000

During my research I found an interview with Don Brooks from February 2000. It was very interesting and confirmed some of the things I knew about Don and brought up a few things I did not know. It’s an hour but it is a video of Don telling his story and is definitely worth a look. One of the things that was not mentioned however is the… Read More


Podcast EP181: A Tour of yieldHUB’s Operation and Impact with Carl Moore

Podcast EP181: A Tour of yieldHUB’s Operation and Impact with Carl Moore
by Daniel Nenni on 09-08-2023 at 10:00 am

Dan is joined by Carl Moore, a semiconductor and yield management expert with a career spanning 40 years. Carl’s held technical management positions across product and test engineering, assembly, manufacturing, and design at established semiconductor companies. Carl is passionate about data analytics and has a reputation… Read More


SMIC N+2 in Huawei Mate Pro 60

SMIC N+2 in Huawei Mate Pro 60
by Scotten Jones on 09-08-2023 at 6:00 am

TechInsights Huawei SMIC

Up until last December I was president and owner of IC Knowledge LLC, at the end of November, I sold IC Knowledge LLC to TechInsights. It has been interesting to become an insider at the world’s leading semiconductor reverse engineering and knowledge company. The latest SMIC N+2 analysis is an excellent example of TechInsight’s… Read More