• AI Everywhere 2025

    Online

    Description In the data center, the shift to inference is in full swing. Infrastructure for inference is being built out at scale around the world in what Jensen Huang calls “AI factories,” optimized for the best possible tokens per dollar performance on a small but growing number of open-source LLMs. Sovereign AI efforts are also …

  • Webinar: Trained Eyes on 64G UCIe: Scale Chiplet Integration for AI

    Online

    Join us to hear firsthand from the innovators at Siemens and Alphawave Semi and learn proven practices to enhance your UCIe-enabled AI system performance! The semiconductor industry is shifting rapidly from monolithic SoC design to chiplet-based systems. At the same time, AI compute workloads have pushed into petaflop-class speeds, demanding higher throughput from die-to-die (D2D) …

  • Webinar: 5 Expectations for the Consumer Electronics Market in 2026

    Online

    December 16, 2025 - 11:00 AM EST    December 17, 2025 – 10:00 AM JST/KST Discover the 5 Critical Consumer Electronics Market Trends Reshaping Semiconductors in 2026 AI, tariffs, smart home, and display innovations—what’s next for consumer electronics. The consumer electronics market is poised for transformation in 2026, driven by technology innovation, geopolitical dynamics, and rising …

  • SEMICON Japan 2025

    Tokyo Big Sight 3 Chome-11-1 Ariake, Koto City, Tokyo, Japan

    SEMICON Japan is the premier event that brings together the semiconductor manufacturing supply chain for the latest insights, trends and innovations as the industry powers digital transformation. SEMICON Japan 2025 will highlight Smart applications powered by semiconductor technology such as automotive and Internet of Things (IoT). REGISTER HERE

  • Webinar: From Silos to Systems, From Data to Insight (AM Session)

    Online

    December 17, 2025 | 10:00 AM PST Join us to discover how Keysight Design Data Management (SOS) Enterprise Collaboration, as the backbone for modern engineering enterprises, enables teams to build organizational knowledge, secure collaboration, and prepare their data for AI and agentic workflows that drive measurable competitive advantage. The biggest challenges today are managing complexity, ensuring governance, and preparing …

  • Essential Debugging Techniques Workshop

    Online

    Essential Debugging Techniques Workshop This workshop is for hardware engineers, system architects, and anyone who wants to learn best practices for debugging challenging issues encountered while developing FPGAs, SoCs, PCBs, and embedded systems using the Vivado Design Suite. The features and capabilities of the Vivado Integrated Logic Analyzer are covered in lectures and demonstrations, along with …

  • CES 2026

    Las Vegas Convention Center Las Vegas Convention Center, 3150 Paradise Rd, Las Vegas, NV, United States

    The world’s most powerful tech event is your place to experience the innovations transforming how we live. This is where global brands get business done, meet new partners and where …

  • Webinar: PQShield with Microchip’s PolarFire® SoC FPGAs: Securing the Future of Embedded Systems in the Post-Quantum Era

    Online

    As the quantum threat moves from theory to reality, attacks put all long-lifecycle designs at risk. In this early PQC era, simply implementing the new NIST algorithms isn't enough. Implementations …

  • Webinar: Advances in ATPG: From Power and Timing Awareness to Intelligent Pattern Search with AI

    Online

    Date: Jan 14, 2026 | 10:00 AM PST Featured Speakers: Srikanth Venkat Raman, Product Management Director, Synopsys Khader Abdel-Hafez, Scientist, Synopsys Theo Toulas, R&D Principal Engineer, Synopsys Bruce Xue, Staff Engineer, Synopsys As System-on-Chip (SoC) designs become increasingly complex, meeting test quality and cost goals requires advances in automatic test pattern generation (ATPG). Synopsys TestMAX™ ATPG is Synopsys’ state-of-the-art …

  • Webinar: 5 Expectations for the Manufacturing Market in 2026

    This course will be held Online

    Discover the 5 Critical Manufacturing Market Trends Reshaping Semiconductors in 2026 AI-driven investments, sustainability, and advanced materials—what’s next for semiconductor manufacturing. The semiconductor manufacturing industry is undergoing a transformative period as AI-driven investments accelerate, sustainability pressures mount, and foundries navigate capacity expansion amid weak consumer demand. In this live TechInsights webinar, our manufacturing experts will …

  • Advanced Measurements Seminar – Calgary

    Calgary, AB Calgary, Alberta, Canada

    About this event Start the new year off right with fresh insights and sharp skills. Join Keysight experts in Calgary for an all-day Advanced Measurements Seminar and cocktail reception. This hands-on technical event features live demonstrations with the latest RF and high-speed digital technologies. Gain practical, lab-ready insights to enhance accuracy, reduce uncertainty, and push your measurement capabilities further in 2026. Lunch and cocktails are on us. …

  • Webinar: Accelerate IC Layout Parasitic Analysis with ParagonX

    Online

    We are pleased to offer two webinar sessions for your convenience. Please choose the time that best fits your schedule: 10:00AM – 12:00PM CET (session #1 for EMEA/APAC) 10:00AM – 12:00PM PST (session #2 for NA) Featured Speakers: Kopal Kulshreshtha, Principal Product Specialist, Synopsys Rob Dohanyos, Principal Product Specialist, Synopsys Introducing ParagonX, a powerful tool for intelligent analysis, debugging, simulation, and visualization …

  • Webinar: ASU-Silvaco Device TCAD Workshop: From Fundamentals to Applications

    ASU Tempe Campus ASU Tempe Campus, Old Main Building, 400 East Tyler Mall, Second Floor, Tempe, AZ, United States

    This workshop is a fast-paced, one-day program led by Prof. Dragica Vasileska and Prof. Stephen M. Goodnick. Spend the morning grounding yourself in semiconductor physics and transport, then transition in the afternoon to instructor-led, hands-on Silvaco TCAD labs (e.g., MOS Capacitors, MOSFETs, SOI Devices, FinFETs). The workshop is offered in a hybrid format. Participants have …

  • SPIE Photonics West 2026

    San Francisco, CA San Francisco, CA, United States

    Share your work, insights, and breakthroughs. The 2026 call for papers is open. SPIE Photonics West is the world’s largest optics and photonics technologies event. Present your research in biomedical optics, biophotonics, industrial lasers, optoelectronics, microfabrication, displays, quantum, and emerging vision technologies. Conferences and Courses: 17–22 January This is the place to be in January …

  • IEEE Hybrid Bonding Symposium

    SEMI HQ SEMI HQ, 673 S Milpitas Blvd., Milpitas, CA, United States

    January 22-23, 2026, hosted by SEMI International, Silicon Valley, CA USA Note: HBS’26 is a hybrid event, with both in-person and virtual participation via WebEx. Download the Call for Presentations! Hybrid Bonding has emerged as the technology of choice in the semiconductor and heterogeneous integration industries for ultra-fine-pitch interconnection. With significant benefits for interconnect density and device …

  • Optical Design Engineering User Conference

    San Francisco, CA San Francisco, CA, United States

    About this event Stay connected with the latest optical design product innovations across CODE V, LightTools, RSoft, ImSym, and our optical scattering measurement solutions. Get tips and tricks on design best practices from our experts, and network with industry peers and the Keysight Optical Design Engineering team. The user conference is held in parallel with …

  • Webinar: Solving Timing closure challenges using Gencellicon (previously Excellicon)

    Online

    Timing closure is one of the most challenging aspects of ASIC design. While traditionally seen as a backend process, its resolution begins at the architectural level and extends through the implementation stages. This webinar examines the key obstacles designers encounter and demonstrates how our timing closure solutions deliver comprehensive support throughout the entire design process. …

  • Webinar: Why AI-Assisted Security Verification For Chip Design is So Important

    Online

    In this webinar, we will explore the growing threat that AI-fueled cyberattacks pose to chip designs and how to add expert-level security verification to your design flow to minimize those risks. We will expose some of the details of the existential risk for electronic systems with real examples. We will then describe technology that easily …

  • 2026 IEEE International Solid-State Circuits Conference (ISSCC)

    San Francisco Marriott Marquis San Francisco Marriott Marquis, 780 Mission Street, San Francisco, CA, United States

    About ISSCC The International Solid-State Circuits Conference is the foremost global forum for presentation of advances in solid-state circuits and systems-on-a-chip. The Conference offers a unique opportunity for engineers working at the cutting edge of IC design and application to maintain technical currency, and to network with leading experts. What’s New Download the ISSCC 2026 …

  • Chiplet Summit 2026

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    All the Solutions for Developing Chiplets 2025 Keynote Addresses from Industry Leaders: Alphawave Semi, Arm, Cadence Design Systems, Keysight, Open Compute Project, Synopsys, Teradyne 2025’s Main Topics Included: AI/ML Acceleration, …

  • Wafer-Level Packaging Symposium 2026

    Hyatt Regency San Francisco Airport 1333 Bayshore Highway, Burlingame, CA, United States

    Formatting Advanced Packaging for the Next Generation The evolution of Advanced Package Technology is experiencing substantial changes as system designs directly drive package performance requirements—an unprecedented development in the industry. Historically, architects constructed circuits within packaging constraints to prevent undesirable outcomes. Nevertheless, increasing transistor expenses and the demand for improved power efficiency necessitate advancing package …

  • Semitracks Course: Defect-Based Testing

    Munich, Germany Munich, Germany

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. For example, today's application-specific ICs and microprocessors can contain upwards of 100 million transistors. Traditional testing relies on the stuck-at-fault (SAF) to model defect behavior. Unfortunately, the SAF model is a poor model for defects. Other models and strategies are required to catch …

  • SPIE Advanced Lithography + Patterning 2026

    San Jose McEnery Convention Center San José McEnery Convention Center, 150 W San Carlos St, San Jose, CA, United States

    From materials to metrology: pushing the limits of lithography Share your research, challenges, and breakthroughs at this leading semiconductor conference in San Jose Submit your abstract and connect with leading researchers advancing solutions in optical lithography, EUVL, patterning technologies, metrology, and process integration for semiconductor manufacturing and related applications. Call for papers is now open. …

  • 2026 Florida Semiconductor Summit

    Rosen Shingle Creek Rosen Shingle Creek, 9939 Universal Blvd, Orlando, FL, United States

    FSI presents the 4th annual Florida Semiconductor Summit in 2026. Join industry leaders, innovators, and exhibitioners as we explore groundbreaking developments and the evolving future of semiconductor manufacturing in Florida. You’re invited to the 2026 Florida Semiconductor Summit! From February 23rd – 25th, 2026, the Florida Semiconductor Institute is hosting the fourth annual Florida Semiconductor Summit at …

  • Semitracks Course: Wafer Fab Processing

    Munich, Germany Munich, Germany

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

  • Semitracks Course: Failure and Yield Analysis

    Munich, Germany Munich, Germany

    Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

  • DVCON U.S. 2026

    Hyatt Regency Hotel, Santa Clara, CA Santa Clara, CA, United States

    DVCon is the premier conference on the application of languages, tools, and methodologies for the design and verification of electronic systems and integrated circuits. The focus of the conference is the usage of specialized design and verification languages such as SystemVerilog, Verilog, VHDL, PSS, SystemC and e, as well as general purpose languages such as …

  • MWC 2026

    Fira Gran Via, Barcelona Fira Gran Via, Av. Joan Carles I, 64, Barcelona, Spain

    Making way for The IQ Era Much can happen in a year within our ecosystem of innovation and connectivity. As we build on the success of MWC25 and engage with MWC26 to activate a new theme …

  • embedded world 2026

    Exhibition Centre Nuremberg Exhibition Centre Nuremberg, Messezentrum 1, Nürnberg, Germany

    Global platform for the embedded community The embedded world Exhibition&Conference provides a global platform and a place to meet for the entire embedded community, including leading experts, key players and …

  • OFC 2026

    Los Angeles Convention Center 1201 S Figueroa St, Los Angeles

    Explore the Ever-Expanding Optical Networking and Communications Industry Plenary Session Esteemed industry luminaries from Coherent, NVIDIA and Tesat-Spacecom will headline the event Tuesday, 17 March. These distinguished speakers will explore cutting-edge technologies, …

  • IRPS 2026

    Loews Ventana Canyon Resort Loews Ventana Canyon Resort, 7000 N Resort Dr, Tuscon, AZ, United States

    About IRPS For over 60 years, IRPS has been the premiere conference for engineers and scientists to present new and original work in the area of microelectronics reliability. Drawing participants from the United States, Europe, Asia, and all other parts of the world, IRPS seeks to understand the reliability of semiconductor devices, integrated circuits, and …

  • MSEC 2026

    Hyatt Regency Boston / Cambridge Hyatt Regency Boston / Cambridge, 575 Memorial Dr, Cambridge, MA, United States

    SENSORIZATION: ENABLING A NEW INTELLIGENCE The MEMS and Sensors Executive Conference 2024 is designed for senior executives across the MEMS and sensors supply chain and adjacent industries.  Industry economic, business …

  • SPIE Photonics Europe 2026

    Palais de la Musique et des Congrès Palais de la Musique et des Congrès, Place de Bordeaux, Strasbourg, France

    Make plans to share your work with other experts in April 2026 Present your research at the only cross-disciplinary event highlighting compelling optics and photonics technologies—from digital optics to quantum technologies to optical imaging, sensing, and metrology. Additional topics include THz photonics, 3D printed optics, photonic glasses, photosensitive materials, and biophotonics. Call for papers is …

  • DATE 2026

    Palazzo della Gran Guardia Palazzo della Gran Guardia, Piazza Brà, Verona, Italy

    Design, Automation and Test in Europe Conference | The European Event for Electronic System Design & Test Call for Papers The DATE conference is the main European event bringing together designers and design automation users, researchers and vendors, as well as specialists in hardware and software design, test, and manufacturing of electronic circuits and systems. …

  • Embedded Vision Summit 2026

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    The premier conference for innovators incorporating computer vision and AI in products The 2025 Summit has now concluded. Join us May 11-13, 2026! Attend an Immersive Experience At the premier conference for innovators incorporating computer vision and AI into products Join 1,400+ product and application developers, business leaders, investors and customers—all focused on perceptual AI …

  • ASMC 2026

    Hilton Albany 40 Lodge St, Albany, NY, United States

    ASMC brings together manufacturers, equipment and materials suppliers, and academia to solve manufacturing challenges with innovative strategies and methodologies. View the 2025 Agenda Advancing Semiconductor Manufacturing Excellence ASMC is the leading international technical conference for discussing solutions that improve the collective manufacturing expertise of the semiconductor industry. Solving the challenges presented by semiconductor manufacturing is …

  • DVCon China 2026

    Shanghai Renaissance Pudong Hotel Renaissance Shanghai Pudong, 719 Yingchun Rd,, Pudong, Shanghai, China

    Hello everyone! Welcome to the 2026 DvCon China Conference! As the chair of this conference, l am truly honored to be here with all of you. lt's exciting to gather together and discuss the latest trends and cutting-edge technologies in the field of design verification. In recent years, we've seen tremendous growth in China's chip development …

  • VOICE 2026

    Scottsdale, Arizona Scottsdale, AZ, United States

    VOICE is a developer conference, created by test engineers for test engineers. Each year, the VOICE Developer Conference unites semiconductor test professionals representing the world's leading integrated device manufacturers (IDMs), foundries, fabless semiconductor companies and outsourced semiconductor assembly and test (OSAT) providers to exchange information about the latest technology advancements, express new ideas, share best …

  • COMPUTEX Taipei 2026

    Taipei Nangang Exhibition Center Taipei Nangang Exhibition Center, No. 1, Jingmao 2nd Rd, Taipei City, Nangang District, Taiwan

    Main Themes COMPUTEX is a leading global exhibition focused on AIoT and startups. The expo will continue with the position of “AI Next”, featuring the latest tech trends: AI & Robotics, Next-Gen Tech, and Future Mobility. Recognized for its adaptability to industry changes. It has established itself as a premier platform for showcasing technological innovations. …

  • IMS2026

    Boston, MA Boston, MA, United States

    Welcome to IMS2026 The IEEE International MTT Symposia (IMS) (www.ims-ieee.org) is the world’s premier RF/microwave technical conference and industry exhibition. Attendees will appreciate that IMS2026 has been refreshed with the technical content reorganized, and new branding, new website, and new mobile app launched. IMS2026 kicks off with the IEEE MTT-S RF Integrated Circuits (RFIC) Symposium, …

  • 2026 IEEE/JSAP Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)

    Honolulu, Hawaii Honolulu, HI, United States

    New concepts and breakthroughs in VLSI processes and devices including Memory, Logic, I/O, and I/F (RF/Analog/MS, Imager, MEMS, etc.) - Advanced gate stack and interconnect in VLSI processes and devices - Advanced lithography and fine patternig technologies for high density VLSI - New functional devices beyond CMOS with a path for VLSI implantation - Packing …

  • Automobil-Elektronik Kongress 2026

    Forum am Schlosspark Stuttgarter Str. 33, Ludwigsburg, Germany

    We are excited to announce the 30th Automobil-Elektronik Kongress, set to take place on June 16 and 17, 2026 at the Forum am Schlosspark in Ludwigsburg, Germany. This prestigious technical conference will bring together industry experts, researchers, and innovators to discuss the latest advancements in automotive electronics. Join us to stay at the forefront of innovation …

  • ISC 2026

    Hamburg, Germany Hamburg, Germany

    CONFERENCE & EXHIBITION HPC, AI, Quantum: Powering Innovation and Sustainability ISC 2026 connects scientists, engineers, and technology leaders to explore the future of high performance computing. We will examine today’s breakthroughs in artificial intelligence, high performance computing and quantum technologies, as well as what lies ahead. Additionally, we are committed to sustainability by promoting energy-efficient …