• SPIE Optifab 2025

    Joseph A. Floreano Rochester Riverside Convention Center Joseph A. Floreano Rochester Riverside Convention Center, 123 East Main Street, Rochester, NY, United States

    Join colleagues at the largest optical manufacturing conference and exhibition in North America SPIE Optifab is the premier event to meet with top companies and learn about the latest optical fabrication technologies. Organized jointly by SPIE and APOMA, Optifab is the largest optical manufacturing conference and exhibition held in North America. In 2025 the meeting …

  • RISC-V Summit North America 2025

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    RISC-V is defining the future of open computing by providing unprecedented freedom to innovate. More than 13 billion RISC-V cores have shipped, powering new innovations in AI/ML, wireless, automotive, data center, space, IoT, embedded and more. Each day, thousands of engineers around the world collaborate and contribute to advance RISC-V. The RISC-V community shares the …

  • CadenceCONNECT: Photonics and Quantum Technologies

    San Jose, CA

    Event Summary The year 2025 is both a turning point for integrated photonics as a key enabler for AI, physical and infrastructure interconnect, and the International Year of Quantum (IYQ). In our event, we are going to explore the enabling technologies shared by these two exciting fields. While these systems need to exist in a …

  • EU Chips Act 2.0 Webinar

    Online

    Europe's Role & Chips Act 2.0 Priorities The European Union is currently developing a new version of the Chips Act program. The European Chips Act 2.0 represents a strategic initiative to strengthen the European semiconductor ecosystem. Building on the original Chips Act adopted in 2023, this updated framework aims to address emerging technologies such as …

  • 2025 TSMC Open Innovation Platform Ecosystem Forum – Tokyo

    Grand Hyatt Tokyo 6 Chome-10-3 Roppongi, Minato City, Tokyo, Japan

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn't just an event; it's a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

  • ICCAD 2025

    Munich, Germany Munich, Germany

    The 2025 International Conference on Computer-Aided Design Call For Papers Jointly sponsored by IEEE and ACM, IEEE ICCAD is the premier forum to explore new challenges, present leading-edge innovative solutions, and identify emerging technologies in the electronic design automation research areas. IEEE ICCAD covers the full range of CAD topics – from device and circuit …

  • Webinar: Rapid Design Space Exploration of AI functions on Lattice FPGAs using Catapult High-Level Synthesis

    Online

    The increasing demand for accelerated computing solutions calls for an agile hardware design methodology to be able to keep up with fast evolving landscape of algorithms. Traditional hardware design methodology has long development cycles involving defining architecture, doing microarchitecture development using RTL, and performing verification. An agile workflow requires being able to iterate through the …

  • CadenceCONNECT: Jasper User Group 2025

    San Jose Convention Center 150 W San Carlos St, San Jose, CA, United States

    CadenceCONNECT: Jasper User Group 2025 is October 29 and 30 in San Jose, CA. This interactive, in-depth technical conference connects designers, verification engineers, and engineering managers from around the world to share the latest design and verification practices based on Cadence’s Jasper formal verification technologies and methodologies. The Jasper User Group Conference is the premier …

  • Webinar: Accelerating RTL-to-GDS digital implementation with generative and agentic AI: powered by Aprisa AI & the Siemens EDA AI System

    Online

    As digital chip design complexity grows, engineering teams face increasing pressure to meet aggressive PPA targets on tight schedules. To overcome this challenge, the EDA industry requires a revolutionary shift towards AI. Siemens EDA is leading this transformation by implementing a comprehensive strategy that combines machine learning, reinforcement learning, generative, and agentic AI across the …

  • Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration

    Online

    Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Unlock the potential of the AMD Kria SOM and discover Time-Sensitive Networking (TSN) benefits for your applications. In this session, you’ll explore the TSN-ROS application and its role in enhancing communications within a TSN framework. Join our hands-on demonstration to …

  • Webinar: 5 Expectations for the Memory Market in 2026

    Online

    October 29, 2025 - 11:00 AM EST    October 30, 2025 – 10:00 AM JST/KST Discover the 5 Critical Memory Market Trends Reshaping Semiconductors in 2026 AI workloads, HBM4 adoption, and 3D NAND scaling—what’s next for the memory industry in 2026. The memory semiconductor industry is entering a critical inflection point. Explosive AI workloads are pushing …

  • Semitracks Course: Wafer Fab Processing

    Phoenix, AZ Phoenix, AZ, United States

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

  • Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training

    Online

    Strengthen your knowledge and skills by learning about new packaging technologies in Fan-in, Fan-out WLP, Embedded packaging technology, System on Chip (SOC), System in Package (SiP), 3D IC, WLP, TSV, etc. Packaging knowledge is a must for professionals in the semiconductor industry. The first part of this course dives deep into advanced packaging. Part 2 …

  • PIC Summit Europe 2025

    Evoluon, Eindhoven Evoluon, Noord Brabantlaan 1A, Eindhoven, LA, Netherlands

    Scaling Together in a Dynamic World The photonic chip industry is reaching new heights - but scaling production, applications, and investments requires a united effort. As demand surges for high-speed, energy-efficient solutions, the question isn’t if photonic chips will revolutionise industries, but how fast we can make it happen. At PIC Summit Europe 2025, we …

  • Embedded World North America 2025

    Anaheim, CA Anaheim, CA, United States

    Connect to the embedded community With its 20 years of history and experience in Europe, embedded world is the most professional and largest exhibition in its field, and has accumulated substantial industry and customer data and media resources. It gathers the leading enterprises of the global embedded industry, with its application range covering automotive electronics, …

  • Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools

    Online

    November 4, 2025 | 10:00 AM PST This webinar will present advanced simulation tools and techniques for the design of GaN power amplifiers with increased assurance of stable operation that goes beyond simple k-factor analysis. The methods will be demonstrated using Qorvo GaN technology and related non-linear models that have been modified to facilitate advanced …

  • Brooklyn 6G Summit 2025

    New York University Tandon School of Engineering New York University Tandon School of Engineering, 6 MetroTech Center, Brooklyn, NY, United States

    What is the Brooklyn 6G Summit The Brooklyn 6G Summit (B6GS) is a premier event for the global communications industry, bringing together leading voices from technology, business, academia and regulation to shape the future of wireless. Jointly organized by Nokia and the NYU WIRELESS research center at the NYU Tandon School of Engineering, the Summit …

  • Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus

    Online

    Details Imaging radar has rapidly evolved into a critical technology for autonomous systems, with patent activity accelerating significantly over the past decade. From 2015 to 2024, global imaging radar patent publications increased more than tenfold, fueled by the rise of autonomous driving, 4D radar integration, AI-based perception, and sensor fusion. This surge has created an …

  • Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs

    Online

    High-Level Synthesis (HLS) is design flow in which design intent is described at a higher level of abstraction such as SystemC/C++/Matlab/etc. HLS tools are expected to synthesize this code to RTL which can be input to the traditional RTL downstream flow (RTL/GDS). Formal check tools are difficult to be analyzed on generated RTL (as the …

  • Open Lab Day: Power Without Compromise

    Keysight Technologies 5301 Stevens Creek Blvd., Santa Clara, CA, United States

    Power testing is more complex than ever. From subtle low-power signals to multi-kilowatt loads, bulky setups and limited software often slow you down and take up valuable lab space. At Open Lab Day: Power Without Compromise, you’ll experience what’s next in power testing: faster validation, greater accuracy, and more capability in less space. Keysight’s newest power …

  • Webinar: Insights on Spectrum for 6G

    Online

    About this event Join Roger Nichols, 6G Program Manager, for an insightful discussion on the 6G spectrum. He will cover the current status of 6G technologies, standards, and policies for the next generation of wireless, including developments from 2024 after the World Radio Conference. Who should attend this event? This webinar is for 6G researchers …

  • Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class

    Online

    Join us for this engaging Master Class with Benyamin Davaji, PhD, Assistant Professor of Electrical and Computer Engineering at Northeastern University and Peter Doerschuk, Professor of Electrical and Computer Engineering and Biomedical Engineering at Cornell University, as they explore the role of digital twin models in advancing semiconductor manufacturing. The masterclass will highlight how data-guided …

  • Webinar: 5 Expectations for the Sensor Market in 2026

    Online

    November 5, 2025 - 11:00 AM EST    November 6, 2025 – 10:00 AM JST/KST Discover the 5 Critical Sensor Market Trends Reshaping Semiconductors in 2026 From 8K smartphones to AI at the edge—explore the next generation of image sensor innovation. The image sensor industry is shifting from traditional pixel scaling to functionality-driven differentiation, unlocking new …

  • Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop

    Online

    Join our webinar to learn how Ansys optiSLang and Thermal Desktop tackle thermal and fluid challenges, optimize design, and enhance product performance with a vapor chamber use case. Date & Time: November 5, 2025 11AM EDT Venue: Virtual Overview In this webinar, discover how Ansys optiSLang and Ansys Thermal Desktop empower engineers to tackle complex …

  • Webinar: Accelerating the Manufacturing Process Using Simulation

    Online

    Join our webinar to explore how Ansys LS-DYNA enhances manufacturing simulations in sheet metal forming, welding, forging, and more, improving accuracy and workflow efficiency. Date/Time: November 5, 2025 11 AM IST Venue: Virtual Overview The demand for manufacturing simulations has been steadily increasing across industries, driven by the need for enhanced product quality, reliability, and cost …

  • Silicon Catalyst 2025 Semi Industry Forum

    Computer History Museum Computer History Museum, 1401 N Shoreline Blvd, Mountain View, CA, United States

    On-the-Road to a $1 Trillion Industry Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. About this event Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. Demand is accelerating, driven by AI, automotive, data centers, and IoT - …

  • Electronic Packaging Days 2025

    Fraunhofer Institute for Reliability and Microintegration IZM Gustav-Meyer-Allee 25, Building 17/3, Berlin, Germany

    On 6 and 7 November, Fraunhofer IZM is inviting customers and partners from industry to Berlin for its “Electronic Packaging Days”. The event is designed to facilitate direct exchange between IZM researchers and companies. The aim is to present current research work and technological developments in the field of microelectronic packaging and heterogeneous system integration …

  • Archetype: Conversations with Silicon Edition

    Ferry Building Ferry Building, 1 Ferry Building, San Francisco, CA, United States

    Archetype, a global tech communications consultancy, is co-hosting, with GV (fka Google Ventures) an exec, media and comms networking event in San Francisco on Thursday, November 6, from 5:30 to 9:30 p.m. at GV’s office in the Ferry Building. We’re bringing together well-respected observers and founders in the semiconductor and computing industries for an evening …

  • Phil Kaufman Award Ceremony and Banquet

    Hayes Mansion Hayes Mansion, 200 Edenvale Ave, San Jose, CA, United States

    The Phil Kaufman Award honors individuals who have had a demonstrable impact on the field of electronic system design through technology innovations, education/mentoring, or business or industry leadership. The award was established as a tribute to Phil Kaufman, the late industry pioneer who turned innovative technologies into commercial businesses that have benefited electronic designers. REGISTER HERE

  • SEMIEXPO Vietnam 2025

    Hanoi, Vietnam Hanoi, Viet Nam

    As the global semiconductor landscape continues to evolve, Vietnam is positioning itself for a promising future in the semiconductor industry. SEMIEXPO Vietnam will be a pivotal event, exploring opportunities for businesses across the semiconductor value chain, from assembly and testing, to fabless/design. This premier event will highlight how local players can elevate Vietnam’s position in …

  • SemIsrael Expo 2025

    Avenue Convention Center Airport City, Israel

    Connecting the Dots: Empowering the Semiconductor Community SemIsrael Expo 2025 is the premier professional semiconductor event in Israel. The event brings together hundreds of Israeli semiconductor professionals from all fields and aspects of the semiconductor industry. The Expo will host some 750 semiconductor professionals from all the Israeli semiconductor community; local fabless & startups, local …

  • Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights

    Online

    Traditional RTL low power design techniques such as sequential clock gating are widely deployed across the industry. Yet, even after multiple RTL revisions, residual power inefficiencies often remain undetected until silicon, resulting in missed optimization opportunities. This seminar presents a refined approach to conventional methodologies: a reporting and opportunity identification layer that sits atop clock …

  • Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim

    Online

    Join us for this essential webinar where we'll explore how  Questa One Sim empowers VHDL designers to dramatically enhance their debugging productivity. We'll move beyond basic simulation viewing and dive into advanced features designed to pinpoint issues faster, understand design behavior more intuitively, and streamline your entire VHDL RTL debug workflow. This webinar is Part 1 of …

  • Webinar: AI/ML Algorithm Design and Testing Toward 6G

    Online

    AI and machine learning (AI/ML) are reshaping wireless communications, promising faster, more efficient, and more intelligent networks. But bringing these algorithms into real-world environments isn’t simple — validation and testing remain major hurdles. In this webinar, industry expert Abhinav Mahadevan shares how to bridge that gap. You’ll learn: • How AI/ML can unlock smarter signal …

  • Webinar: 5 Expectations for the Compute Market in 2026

    Online

    November 12, 2025 - 11:00 AM EST    November 13, 2025 – 10:00 AM JST/KST Discover the 5 Critical Compute Market Trends Reshaping Semiconductors in 2026 Datacenter accelerators, advanced nodes, and geopolitical tensions—what’s next for compute semiconductors. The compute semiconductor market is entering a transformative period. While datacenter accelerators drive rapid growth, traditional PC and mobile …

  • Aerospace and Defense Symposium 2025 — El Segundo

    DoubleTree by Hilton Hotel LAX - El Segundo DoubleTree by Hilton Hotel LAX - El Segundo, 1985 E Grand Ave, El Segundo, CA, United States

    Join Chris Johnston, Keysight’s Director of Radar and EW, alongside other Keysight experts, at this year’s Aerospace and Defense Symposium in El Segundo. Gain practical insights, see live demonstrations, and take part in engaging discussions designed to help you stay ahead in our rapidly evolving industry. You’ll walk away ready to accelerate mission-critical product development across …

  • Webinar: Accelerating Product Development with Computational Materials Engineering

    Online

    Discover how companies are leveraging ICME to enhance outcomes in consumer goods, fluids manufacturing, optics, and polymer development. Learn how your R&D team can accelerate the process from materials design to product design and manufacturing. DATE/TIME: November 13, 2025 11 AM EST / 5 PM CET / 9:30 PM IST Venue: Virtual Overview Ansys and …

  • ISTFA 2025

    Pasadena Convention Center Pasadena Convention Center, 300 E Green St, Pasadena, CA, United States

    Plan today to attend and participate at ISTFA 2025! Join us for the 51st International Symposium for Testing and Failure Analysis (ISTFA) is set to take place in Pasadena, California, from November 16-20, 2025. As the premier event for the microelectronics failure analysis community, ISTFA brings together leading experts, industry professionals, and researchers to share …

  • SC25

    America’s Center America’s Center, 701 Convention Plaza, St. Louis, MO, United States

    The International Conference for High Performance Computing, Networking, Storage, and Analysis HPC Ignites. St. Louis is the place to be this fall as the high performance computing community convenes for an exhilarating week of sessions, speakers, and networking at its finest. SC is an unparalleled mix of thousands of scientists, engineers, researchers, educators, programmers, and …

  • Hardwear.io Security Trainings and Conference Netherlands 2025

    Amsterdam Marriott Hotel Stadhouderskade 12, Amsterdam, Netherlands

    The Netherlands has been the home for Hardwear.io since 2015. We are very excited to host the industry from automotive, healthcare, semiconductor, IoT, industrial control systems and Govt/Defences Institutes to join us for Hardwear.io NL scheduled on 17th Nov to 21st Nov 2025 at Amsterdam Marriott Hotel Learn, share, build, collaborate with 100+ companies attending …

  • 2025 TSMC Open Innovation Platform Ecosystem Forum – Taiwan

    Sheraton Hsinchu Hotel Sheraton Hsinchu Hotel, No. 265號, E Section 1, Guangming 6th Rd, Zhubei City, Hsinchu County, Taiwan

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

  • MASTER CLASS: Component-based transfer path analysis and virtual prototyping

    Leuven, Belgium Leuven, Belgium

    The Component-based TPA and Virtual Prototyping Master Class is a 3-day live training event that brings together the NVH community at the NVH facility in Leuven, Belgium. This immersive program features theoretical lectures and insights from industry leaders, supported by live demonstrations, interactive sessions, and more than 9 hours of hands-on workshops in small groups. The master …

  • SEMICON Europa 2025

    Messe Munchen Munich, Germany

    SEMICON Europa 2025 is co-located with productronica and will take place in November 18-21, 2025 in Munich, Germany. This year’s theme Global Collaborations for European Economic Resilience expresses that global collaborations are not just beneficial for Europe's resilience, they are essential. In today's interconnected world, Europe cannot afford to operate in isolation. By working closely with other nations, …

  • Webinar: Power Supply ICs for efficient computing & networking

    Online

    The importance of second-stage optimization in power delivery for data centers and high-performance computing cannot be overstated. With the potential to increase energy efficiency from an average of 85% to approximately 90%, Infineon is at the forefront of developing innovative solutions to meet the rapidly growing demands of advanced computing. In this session we will …

  • Webinar: How PCIe Multistream Architecture is enabling AI Connectivity at 64 GT/s and 128 GT/s

    Online

    Featured Speakers: Diwakar Kumaraswamy, Sr. Staff Technical Product Manager, Synopsys AI and HPC workloads push fabric speeds to deliver higher parallelism and utilization at extreme data rates. To support these higher rates, the controller architecture needs to be completely redefined resulting in the new PCIe controller Multistream architecture where multiple TLP streams to be serialized …

  • Advanced Measurements Seminar – Chandler

    DoubleTree by Hilton Phoenix Chandler DoubleTree by Hilton Phoenix Chandler, 7475 W Chandler Blvd, Chandler, AZ, United States

    Join Suren Singh, Application Engineer for Emerging Technologies, and fellow Keysight experts for a hands-on seminar that will help you do what you couldn’t before in RF measurement. Whether you're looking to advance your expertise or stay ahead of emerging technologies, this hands-on seminar will equip you with the practical tools and skills you need …

  • Sensing (R)evolution: Sustaining Europe’s Leadership

    ICM – International Congress Center Messe München Messe München GmbH, Messegelände, München, Germany

    Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe's leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in Sensing (R)evolution: …

  • MEMS & Imaging Sensors Summit 2025

    ICM – International Congress Center Messe München Messe München GmbH, Messegelände, München, Germany

    Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe’s leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in to Sensing …

  • Webinar: Advancing NTN: Challenges and Opportunities in 6G

    Online

    NTNs extend coverage everywhere; 6G delivers the tools to optimize, scale, and seamlessly integrate them. Join our expert roundtable for a high-impact discussion on today’s NTN landscape. Discover how 6G will accelerate their evolution. Learn about the engineering challenges and opportunities shaping the future. You’ll walk away with insights into real-world deployments, emerging use cases, …

  • From Theory to Practice: Applying Timing Constraints Workshop

    Online

    From Theory to Practice: Applying Timing Constraints Workshop Do you struggle to identify which constraints are needed for a design or how to properly input them? This workshop will cover how to use features in Vivado, clock domain crossing strategies, and how to get the most out of static timing analysis for Versal devices. This …

  • Webinar: 5 Expectations for the Connectivity Market in 2026

    Online

    Discover the 5 Critical Connectivity Market Trends Reshaping Semiconductors in 2026 5G, ambient computing, and RF innovation—what’s next for connectivity semiconductors. The connectivity semiconductor industry is evolving rapidly as 5G matures, geopolitical shifts influence supply chains, and ambient/always-on computing paradigms drive next-generation RF architectures. From smartphones to IoT, wearables, and satellite networks, the connectivity landscape …

  • 2025 ICCAD

    Chengdu, China Chengdu, China

    About ICCAD-Expo In the development of China's integrated circuit design industry, the China Integrated Circuit Design Industry Exhibition (ICCAD-Expo) has consistently played a vital role in promoting industrial clustering, connecting industry resources, and identifying industry trends. Since its inception in 1994, ICCAD-Expo  has been successfully held for 30 sessions in Shenzhen, Hangzhou, Chengdu, Wuhan, Shanghai, Zhuhai, Dalian, …

  • Webinar: Powering the heart of AI – 48 V to core optimization

    Online

    This webinar provides an in-depth discussion about the challenges posed by AI's increasing power requirements to voltage regulation, more specifically physical limitations such as power distribution losses, thermal and cooling limitations, and power density issues. It then explores innovative technologies designed to address these challenges, for example chip-embedded modules, thermally efficient inductors, and novel power …

  • Webinar: Wireless Coexistence Testing for Medical Devices

    Online

    Wireless connectivity is the backbone of modern medical technology, but it is also one of its greatest vulnerabilities. In connected hospitals and operating rooms, dozens of devices share a limited spectrum. Even minor interference can disrupt data, delay critical responses, and threaten patient safety. Regulators now require proof that devices coexist reliably in complex RF …

  • Webinar: Sensing the 6G Future: Insight from the Wireless Channel

    Online

    6G is transforming wireless networks from a channel for communication into a powerful tool for sensing the world around us. Beyond connecting people and devices, 6G opens the door to applications such as gesture recognition, object detection, and location awareness — capabilities that demand new approaches to modeling, simulation, and testing. In this webinar, our …

  • Webinar: 5 Expectations for the Automotive Market in 2026

    Online

    November 20, 2025 - 11:00 AM EST    November 21, 2025 – 10:00 AM JST/KST Discover the 5 Critical Automotive Market Trends Reshaping Semiconductors in 2026 AI, vehicle architectures, and trade impacts—what’s next for automotive semiconductors. The automotive semiconductor industry is navigating a complex landscape of trade tensions, evolving architectures, and AI deployment. Supply chain uncertainties …

  • 2025 SIA Awards Dinner

    Signia by Hilton San Jose 170 S Market St, San Jose, CA, United States

    Date: Nov. 20, 2025 Time: SIA Reception at 5:00 pm Dinner, Awards Presentations, & Keynote Remarks at 6:30 pm After-Dinner Reception at 8:30 pm Location: Signia by Hilton San Jose 170 S Market St San Jose, Calif.95113 PRIORITY SPONSORSHIP PERIOD NOW OPEN! The 2025 SIA Awards Dinner Priority Sponsorship Period is now open! Only Gold …

  • 2025 TSMC Open Innovation Platform Ecosystem Forum – Amsterdam

    Hilton Amsterdam Airport Schiphol Hilton Amsterdam Airport Schiphol, Schiphol Boulevard 701, 1118 BN Schiphol Airport, Amsterdam, Netherlands

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

  • Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques

    Online

    Description Clock domain crossings (CDCs) are a critical aspect of FPGA and embedded system design, and handling them correctly is essential for reliable operation. In this one-hour webinar, we’ll break down CDC fundamentals, explore best practices for managing single-bit and bus CDCs, and demonstrate how to leverage Xilinx Parameterized Macros (XPM) for seamless synchronization. Join …

  • CadenceTECHTALK: iPegasus Verification System for Virtuoso Studio

    Online

    Speaker: Hong-Cheang Quek, AE Director 10:00am~11:00am iPegasus Verification System for Virtuoso Studio 11:00am~11:15am Q&A Description: Today's complex SoC designs significantly increase layout creation and verification time, especially at advanced nodes. To meet overall demand for faster design cycle turnaround time, bridge a demand gap, and improve productivity between custom implementation and physical verification tools, Cadence …

  • Webinar: 5 Expectations for the Advanced Packaging Market in 2026

    This course will be held Online

    December 2, 2025 - 11:00 AM EST    December 3, 2025 – 10:00 AM JST/KST Discover the 5 Critical Advanced Packaging Market Trends Reshaping Semiconductors in 2026 AI, 3D stacking, and next-gen substrates—what’s next for advanced packaging. The advanced packaging industry is at the forefront of semiconductor innovation, driven by the explosive growth of AI and …

  • PDF Solutions 2025 Users Conference & Analyst Day

    Santa Clara Marriott Santa Clara, CA, United States

    The semiconductor industry is experiencing accelerated innovation; demand has never been higher, complexity never greater, and the opportunities never more exciting. But realizing this potential requires partnerships, shared secure scalable solutions, and a collective commitment to pushing boundaries.  In this two-day conference, you'll: Hear from visionaries at Qualcomm, Intel, GlobalFoundries, STMicroelectronics, and SAP and many others.  We will demo breakthrough technologies in AI-driven …

  • 2025 TSMC Open Innovation Platform Ecosystem Forum – China

    Shangri-La Nanjing Shangri-La Nanjing, 329 Zhongyang Rd, Gulou, Nanjing, Jiangsu, China

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

  • Webinar: Simplify 1.6T Ethernet Testing: A New Way to Validate Interconnects

    Online

    As 1.6T Ethernet moves from concept to deployment, validating interconnects is more critical — and complex — than ever. But the challenge demands more than just speed — it’s proving performance in real-world conditions. Traditional test methods are slow and require manual intervention — adding complexity, longer development cycles, and gaps in validation. In this …

  • 2025 GSA Awards Dinner Celebration

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    GSA recognizes semiconductor companies that have demonstrated excellence through their success, vision, strategy and future opportunities in the industry at its annual Awards Dinner Celebration. It is an honor to be selected as a nominee and an even more distinguished achievement to win a GSA Award. REGISTER HERE

  • IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting

    San Francisco, CA San Francisco, CA, United States

    100 YEARS of FETs: SHAPING the FUTURE of DEVICE INNOVATIONS Inside IEEE IEDM 2025 Focus Sessions Focus Session #1 - Efficient AI Solutions: Architecture, Circuit, and 3D Integration Innovations for Memory and Logic Focus Session #2 - Beyond Silicon: The Invisible Revolution in Thin-Film Transistors Focus Session #3 - From P-bits to Qubits: Classical, Quantum-Inspired …

  • CadenceTECHTALK: Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure

    Online

    Speaker: Kee Tat Ong, Principal Application Engineer 10:00am~11:00am Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure 11:00am~11:15am Q&A Description: With more designs migrating to advanced process nodes, chips are getting smaller, but design complexity is increasing in order to deliver better power, performance, or area. These optimizations are restricted by the time window to …

  • Webinar: 5 Expectations for the PC/Laptop/Tablet Market in 2026

    Online

    December 9, 2025 - 11:00 AM EST    December 10, 2025 – 10:00 AM JST/KST Discover the 5 Critical PC/Laptop/Tablet Market Trends Reshaping Semiconductors in 2026 AI, tariffs, and processor innovations—what’s next for client computing markets. The client computing market is entering a pivotal transition as post-COVID refresh cycles conclude. With a cooling tablet segment and …

  • Webinar: Automate PCB documentation with BluePrint-PCB

    Online

    Streamlining fabrication and assembly documentation December 9, 2025 at 06:00 AM Pacific Standard Time December 9, 2025 at 01:00 PM Pacific Standard Time BluePrint-PCB is a documentation automation tool that streamlines PCB fabrication, assembly, and inspection by generating intelligent, customizable electronic drawings directly from design data. BluePrint-PCB by Siemens integrates with PCB design workflows to …

  • AI Everywhere 2025

    Online

    Description In the data center, the shift to inference is in full swing. Infrastructure for inference is being built out at scale around the world in what Jensen Huang calls “AI factories,” optimized for the best possible tokens per dollar performance on a small but growing number of open-source LLMs. Sovereign AI efforts are also …

  • Webinar: Trained Eyes on 64G UCIe: Scale Chiplet Integration for AI

    Online

    Join us to hear firsthand from the innovators at Siemens and Alphawave Semi and learn proven practices to enhance your UCIe-enabled AI system performance! The semiconductor industry is shifting rapidly from monolithic SoC design to chiplet-based systems. At the same time, AI compute workloads have pushed into petaflop-class speeds, demanding higher throughput from die-to-die (D2D) …

  • Webinar: 5 Expectations for the Consumer Electronics Market in 2026

    Online

    December 16, 2025 - 11:00 AM EST    December 17, 2025 – 10:00 AM JST/KST Discover the 5 Critical Consumer Electronics Market Trends Reshaping Semiconductors in 2026 AI, tariffs, smart home, and display innovations—what’s next for consumer electronics. The consumer electronics market is poised for transformation in 2026, driven by technology innovation, geopolitical dynamics, and rising …

  • SEMICON Japan 2025

    Tokyo Big Sight 3 Chome-11-1 Ariake, Koto City, Tokyo, Japan

    SEMICON Japan is the premier event that brings together the semiconductor manufacturing supply chain for the latest insights, trends and innovations as the industry powers digital transformation. SEMICON Japan 2025 will highlight Smart applications powered by semiconductor technology such as automotive and Internet of Things (IoT). REGISTER HERE

  • Webinar: From Silos to Systems, From Data to Insight (AM Session)

    Online

    December 17, 2025 | 10:00 AM PST Join us to discover how Keysight Design Data Management (SOS) Enterprise Collaboration, as the backbone for modern engineering enterprises, enables teams to build organizational knowledge, secure collaboration, and prepare their data for AI and agentic workflows that drive measurable competitive advantage. The biggest challenges today are managing complexity, ensuring governance, and preparing …

  • Essential Debugging Techniques Workshop

    Online

    Essential Debugging Techniques Workshop This workshop is for hardware engineers, system architects, and anyone who wants to learn best practices for debugging challenging issues encountered while developing FPGAs, SoCs, PCBs, and embedded systems using the Vivado Design Suite. The features and capabilities of the Vivado Integrated Logic Analyzer are covered in lectures and demonstrations, along with …

  • Webinar: 5 Expectations for the Mobile Market in 2026

    Online

    January 5, 2026 - 11:00 AM EST    January 6, 2026 – 10:00 AM JST/KST Discover the 5 Critical Mobile Market Trends Reshaping Semiconductors in 2026 Mobile AI, shifting supply chains, and new form factors—what’s next for the mobile semiconductor industry. The mobile semiconductor market faces an inflection point in 2026. While trade and tariff uncertainties …

  • CES 2026

    Las Vegas Convention Center Las Vegas Convention Center, 3150 Paradise Rd, Las Vegas, NV, United States

    The world’s most powerful tech event is your place to experience the innovations transforming how we live. This is where global brands get business done, meet new partners and where the industry's sharpest minds take the stage to unveil their latest releases and boldest breakthroughs. Get a real feel for the latest solutions to the …

  • ISS 2026 Industry Strategy Symposium

    The Ritz-Carlton, Half Moon Bay The Ritz-Carlton, 1 Miramontes Point Rd, Half Moon Bay, CA, United States

    Join us at the Ritz-Carlton in Half Moon Bay, CA to get the latest insights on economic trends, market drivers, geopolitics, technology, and what these will mean for the near future to help drive your business forward. The cooperative platform of ISS and its tremendous collective assets will serve to help power your strategies for …

  • Terascale AI, 1.6T and Beyond Seminar: Santa Clara

    Santa Clara, CA Santa Clara, CA, United States

    About this event Next-generation AI systems are pushing electrical, optical, and packaging technologies to their limits. Join Keysight experts as they share insights on validating 224G / 448G SerDes, preparing for emerging IEEE 1.6T optical standards, advancing silicon photonics, and strengthening die-to-die interconnects for chiplet-based architectures. This is your chance to learn directly from the engineers shaping the …

  • Webinar: PQShield with Microchip’s PolarFire® SoC FPGAs: Securing the Future of Embedded Systems in the Post-Quantum Era

    Online

    As the quantum threat moves from theory to reality, attacks put all long-lifecycle designs at risk. In this early PQC era, simply implementing the new NIST algorithms isn't enough. Implementations will evolve, and new physical attacks like side-channel analysis present a major threat to the security of these complex new algorithms. The solution requires both …

  • Webinar: Physics-Based Foundations for Power Supply Design

    Virtual

    Overview: This webinar provides engineers with a science-driven framework for power supply design, rooted in the physics of electromagnetic energy flow. Inspired by Ralph Morrison’s pioneering approach, the session begins with core principles of energy behavior, then explores how current, fields, and waves interact inside real circuits. Attendees will gain practical insight into: How electromagnetic …

  • Webinar: Advances in ATPG: From Power and Timing Awareness to Intelligent Pattern Search with AI

    Online

    Date: Jan 14, 2026 | 10:00 AM PST Featured Speakers: Srikanth Venkat Raman, Product Management Director, Synopsys Khader Abdel-Hafez, Scientist, Synopsys Theo Toulas, R&D Principal Engineer, Synopsys Bruce Xue, Staff Engineer, Synopsys As System-on-Chip (SoC) designs become increasingly complex, meeting test quality and cost goals requires advances in automatic test pattern generation (ATPG). Synopsys TestMAX™ ATPG is Synopsys’ state-of-the-art …

  • Webinar: 5 Expectations for the Manufacturing Market in 2026

    This course will be held Online

    Discover the 5 Critical Manufacturing Market Trends Reshaping Semiconductors in 2026 AI-driven investments, sustainability, and advanced materials—what’s next for semiconductor manufacturing. The semiconductor manufacturing industry is undergoing a transformative period as AI-driven investments accelerate, sustainability pressures mount, and foundries navigate capacity expansion amid weak consumer demand. In this live TechInsights webinar, our manufacturing experts will …

  • Advanced Measurements Seminar – Calgary

    Calgary, AB Calgary, Alberta, Canada

    About this event Start the new year off right with fresh insights and sharp skills. Join Keysight experts in Calgary for an all-day Advanced Measurements Seminar and cocktail reception. This hands-on technical event features live demonstrations with the latest RF and high-speed digital technologies. Gain practical, lab-ready insights to enhance accuracy, reduce uncertainty, and push your measurement capabilities further in 2026. Lunch and cocktails are on us. …

  • Webinar: Accelerate IC Layout Parasitic Analysis with ParagonX

    Online

    We are pleased to offer two webinar sessions for your convenience. Please choose the time that best fits your schedule: 10:00AM – 12:00PM CET (session #1 for EMEA/APAC) 10:00AM – 12:00PM PST (session #2 for NA) Featured Speakers: Kopal Kulshreshtha, Principal Product Specialist, Synopsys Rob Dohanyos, Principal Product Specialist, Synopsys Introducing ParagonX, a powerful tool for intelligent analysis, debugging, simulation, and visualization …

  • Webinar: ASU-Silvaco Device TCAD Workshop: From Fundamentals to Applications

    ASU Tempe Campus ASU Tempe Campus, Old Main Building, 400 East Tyler Mall, Second Floor, Tempe, AZ, United States

    This workshop is a fast-paced, one-day program led by Prof. Dragica Vasileska and Prof. Stephen M. Goodnick. Spend the morning grounding yourself in semiconductor physics and transport, then transition in the afternoon to instructor-led, hands-on Silvaco TCAD labs (e.g., MOS Capacitors, MOSFETs, SOI Devices, FinFETs). The workshop is offered in a hybrid format. Participants have …

  • SPIE Photonics West 2026

    San Francisco, CA San Francisco, CA, United States

    Share your work, insights, and breakthroughs. The 2026 call for papers is open. SPIE Photonics West is the world’s largest optics and photonics technologies event. Present your research in biomedical optics, biophotonics, industrial lasers, optoelectronics, microfabrication, displays, quantum, and emerging vision technologies. Conferences and Courses: 17–22 January This is the place to be in January …

  • POWERGEN 2026

    Henry B. González Convention Center 900 E Market St, San Antonio, TX, United States

    Unprecedented Demand. Unmatched Opportunity. A surge in data centers, industrial expansion, and electrification is reshaping power generation planning across North America. Utilities are under mounting pressure to maintain reliability and add new capacity. IPPs are accelerating project development to capture opportunity. EPCs and OEMs are finding innovative ways to build faster, smarter, and stronger amid …

  • Webinar: Turning Compliance Into Competitive Credibility: Exclusive Launch of New CMMC Readiness Research

    Virtual

    About this event Be the first to see brand new, Keysight-commissioned research on Cybersecurity Maturity Model Certification (CMMC) readiness across the U.S. Defense Industrial Base. In this live webinar, we’ll unveil findings from hundreds of security, IT, and compliance leaders and show where organizations really stand. Plus, attendees will be the first to get the full research paper, The Power of …

  • IEEE Hybrid Bonding Symposium

    SEMI HQ SEMI HQ, 673 S Milpitas Blvd., Milpitas, CA, United States

    January 22-23, 2026, hosted by SEMI International, Silicon Valley, CA USA Note: HBS’26 is a hybrid event, with both in-person and virtual participation via WebEx. Download the Call for Presentations! Hybrid Bonding has emerged as the technology of choice in the semiconductor and heterogeneous integration industries for ultra-fine-pitch interconnection. With significant benefits for interconnect density and device …

  • Optical Design Engineering User Conference

    San Francisco, CA San Francisco, CA, United States

    About this event Stay connected with the latest optical design product innovations across CODE V, LightTools, RSoft, ImSym, and our optical scattering measurement solutions. Get tips and tricks on design best practices from our experts, and network with industry peers and the Keysight Optical Design Engineering team. The user conference is held in parallel with …

  • SpaceTech Symposium – Austin

    Capital Factory Capital Factory, 701 Brazos St., Austin, TX, United States

    Satellite communications and design present complex challenges — from phased arrays to 5G non-terrestrial networks (NTNs). Are you equipped with the latest solutions to ensure mission success? Join Keysight and CesiumAstro's Vice President of Engineering, James Carwell, at our action-packed SpaceTech Symposium to learn practical insights and engage in demos to help you navigate these challenges. Walk …

  • Semiconductor Traceability and Provenance Workshop

    NIST's National Cybersecurity Center of Excellence (NCCoE) 9700 Great Seneca Highway, Rockville, MD, United States

    The National Institute of Standards and Technology (NIST) will host the Semiconductor Traceability and Provenance Workshop on Tuesday, January 27, 2026, at the NIST National Cybersecurity Center of Excellence (NCCoE) conference facility, in Rockville, Maryland. This in-person, one-day event builds on the momentum from the April 2025 workshop on Trust and Provenance in the Semiconductor Supply Chain, …

  • Webinar: Solving Timing closure challenges using Gencellicon (previously Excellicon)

    Online

    Timing closure is one of the most challenging aspects of ASIC design. While traditionally seen as a backend process, its resolution begins at the architectural level and extends through the implementation stages. This webinar examines the key obstacles designers encounter and demonstrates how our timing closure solutions deliver comprehensive support throughout the entire design process. …

  • Webinar: Powering the Future: Megawatt Charging Solutions for Heavy-Duty Electrification

    Virtual

    About this event Join our upcoming webinar to discover how Keysight is powering the future of heavy-duty electric transportation with advanced megawatt-charging test solutions. Learn how to validate ultra-high-power delivery beyond 3 MW, ensure compliance with MCS and ISO 15118-20, and streamline interoperability testing, all within a single, fully controllable platform designed for both compliance and development debugging. We’ll explore …

  • Power Seminar – Burnaby

    Burnaby, BC Burnaby, British Columbia, Canada

    About this event As power levels rise and systems scale, high-power testing becomes more complex and time-consuming. This hands-on Power Solutions Seminar focuses on practical test strategies for batteries, fuel cells, green energy, and power conversion. You will experience expert-led technical sessions with hands-on, real-world application insights. Explore Keysight’s three new High-Power ATE System Supplies and demos across …

  • Webinar: Why AI-Assisted Security Verification For Chip Design is So Important

    Online

    In this webinar, we will explore the growing threat that AI-fueled cyberattacks pose to chip designs and how to add expert-level security verification to your design flow to minimize those risks. We will expose some of the details of the existential risk for electronic systems with real examples. We will then describe technology that easily …

  • Webinar: From C++ to Silicon: Fast, Physically Aware, AI-Driven Exploration with Rise Design Automation and Precision Innovations

    Virtual

    As hardware designs grow more complex, architectural exploration is increasingly critical to delivering differentiated silicon. Teams frequently develop promising architectures only to discover late in the cycle that physical implementation is too costly or fails to meet key specifications. This challenge intensifies as designers integrate new accelerators — video, audio, ML, or custom datapaths — …

  • Webinar: Synopsys and TSMC Discuss Multi-Die Monitoring, Embedded Test & Repair Flows

    Virtual

    Date: Feb 04, 2026 | 9:00 AM PST Featured Speakers: Dr. Yervant Zorian , Chief Architect and Fellow at Synopsys, President of Synopsys Armenia Dr. Sandeep K Goel, Senior Director, TSMC Our upcoming Synopsys webinar features an exciting real-world case study showcasing Synopsys IP and EDA tools with UCIe-based chiplets on advanced TSMC silicon and packaging technologies. See firsthand the …

  • Webinar: Building Efficient, Secure, and Scalable AI Systems with UALink

    Online

    Date: Feb 05, 2026 | 9:00 AM PST Featured Speakers: Varun Agrawal, Product Manager, Synopsys Jon Ames, Product Manager, Synopsys Discover how UALink enables open, scalable, secure interconnects for AI workloads—and how Synopsys IP and VIP accelerate adoption. Why You Should Attend: Learn about UALink advantages over proprietary interconnects for AI scalability and security. Explore open ecosystem benefits: multi-vendor interoperability …

  • Demo Day — Signal Generators

    Virtual

    Join us for Demo Day – Signal Generators and discover how to accelerate and optimize RF testing with the capabilities offered by these instruments in our test bench.  Learn how to perform power amplifier matching correction with a signal generator's integrated reflectometer in minutes… not hours. No additional test equipment required. We will also demonstrate how the …

  • Webinar: Meet Compact-Q DEER Spectrometer, Your Gateway to Quantum Innovation

    Virtual

    Intro The Compact-Q DEER Spectrometer is designed to support researchers in academia and industry to efficiently characterize quantum materials, develop devices for quantum sensing, advance and validate algorithms to control qubits, and other applications in spin-based quantum technologies. The Compact-Q DEER system features a modern microwave architecture, high-resolution AWG, and digital signal processing schemes enabling …

  • SEMICON Korea 2026

    COEX Auditorium 513, Yeongdong-daero, Seoul, Gangnam-gu, Korea, Republic of

    Date: Feburary 11-13, 2026 Hours February 11, 2026 | 10:00-17:00 (Last entry 16:30) February 12, 2026 | 10:00-17:00 (Last entry 16:30) February 13, 2026 | 10:00-16:00 (Last entry 15:30) Venue COEX (Hall A, B, C, D, E, Grand Ballroom, Platz and ASEM Ballroom) Westin Seoul Parnas Grand InterContinental Seoul Parnas Scale 550 Exhibitors, 2411 booths …

  • 2026 IEEE International Solid-State Circuits Conference (ISSCC)

    San Francisco Marriott Marquis San Francisco Marriott Marquis, 780 Mission Street, San Francisco, CA, United States

    About ISSCC The International Solid-State Circuits Conference is the foremost global forum for presentation of advances in solid-state circuits and systems-on-a-chip. The Conference offers a unique opportunity for engineers working at the cutting edge of IC design and application to maintain technical currency, and to network with leading experts. What’s New Download the ISSCC 2026 …

  • Chiplet Summit 2026

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    All the Solutions for Developing Chiplets 2025 Keynote Addresses from Industry Leaders: Alphawave Semi, Arm, Cadence Design Systems, Keysight, Open Compute Project, Synopsys, Teradyne 2025’s Main Topics Included: AI/ML Acceleration, Open Chiplet Economy, Advanced Packaging Methods, Die-to-die Interfaces, Working with Foundries signup to be a 2026 SPONSOR / Exhibitor REGISTER HERE

  • Webinar: How Secure Are Your Critical Aerospace & Defense Systems?

    Virtual

    Aerospace, defense, and other mission-critical technologies face rapidly evolving hardware threats. A hobbyist can add a single board computer to a consumer device. A nation-state can scale an exploit across critical infrastructure. The attack surface widens fast, and the security implications are real. Adversaries are continuously developing techniques that can compromise mission-critical components, sometimes before …

  • Wafer-Level Packaging Symposium 2026

    Hyatt Regency San Francisco Airport 1333 Bayshore Highway, Burlingame, CA, United States

    Formatting Advanced Packaging for the Next Generation The evolution of Advanced Package Technology is experiencing substantial changes as system designs directly drive package performance requirements—an unprecedented development in the industry. Historically, architects constructed circuits within packaging constraints to prevent undesirable outcomes. Nevertheless, increasing transistor expenses and the demand for improved power efficiency necessitate advancing package …

  • Semitracks Course: Defect-Based Testing

    Munich, Germany Munich, Germany

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. For example, today's application-specific ICs and microprocessors can contain upwards of 100 million transistors. Traditional testing relies on the stuck-at-fault (SAF) to model defect behavior. Unfortunately, the SAF model is a poor model for defects. Other models and strategies are required to catch …

  • SPIE Advanced Lithography + Patterning 2026

    San Jose McEnery Convention Center San José McEnery Convention Center, 150 W San Carlos St, San Jose, CA, United States

    From materials to metrology: pushing the limits of lithography Share your research, challenges, and breakthroughs at this leading semiconductor conference in San Jose Submit your abstract and connect with leading researchers advancing solutions in optical lithography, EUVL, patterning technologies, metrology, and process integration for semiconductor manufacturing and related applications. Call for papers is now open. …

  • 2026 Florida Semiconductor Summit

    Rosen Shingle Creek Rosen Shingle Creek, 9939 Universal Blvd, Orlando, FL, United States

    FSI presents the 4th annual Florida Semiconductor Summit in 2026. Join industry leaders, innovators, and exhibitioners as we explore groundbreaking developments and the evolving future of semiconductor manufacturing in Florida. You’re invited to the 2026 Florida Semiconductor Summit! From February 23rd – 25th, 2026, the Florida Semiconductor Institute is hosting the fourth annual Florida Semiconductor Summit at …

  • Semitracks Course: Wafer Fab Processing

    Munich, Germany Munich, Germany

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

  • FLEX 2026 – Technology Summit

    The WIGWAM The Wigwam, 300 E Wigwam Blvd, Litchfield Park, AZ, United States

    FLEX 2026 | TECHNOLOGY SUMMIT | FEBRUARY 24-26, 2026 THE WIGWAM ARIZONA RESORT | PHOENIX, AZ A 25th Anniversary Celebration Escape the winter and celebrate 25 years of innovation with us at The Wigwam Arizona Resort in Phoenix, AZ. FLEX—Technology Summit is a vibrant networking event designed to foster community building. Connect with like-minded professionals, share ideas, and forge …

  • Semitracks Course: Failure and Yield Analysis

    Munich, Germany Munich, Germany

    Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

  • DVCON U.S. 2026

    Hyatt Regency Hotel, Santa Clara, CA Santa Clara, CA, United States

    DVCon is the premier conference on the application of languages, tools, and methodologies for the design and verification of electronic systems and integrated circuits. The focus of the conference is the usage of specialized design and verification languages such as SystemVerilog, Verilog, VHDL, PSS, SystemC and e, as well as general purpose languages such as …

  • MWC 2026

    Fira Gran Via, Barcelona Fira Gran Via, Av. Joan Carles I, 64, Barcelona, Spain

    Making way for The IQ Era Much can happen in a year within our ecosystem of innovation and connectivity. As we build on the success of MWC25 and engage with MWC26 to activate a new theme – The IQ Era – the world is already shifting to greater heights of digital awareness. In this new age of intelligence, the way to a better future is through smarter connection: human …

  • 22nd Annual Device Packaging Conference (DPC 2026)

    Sheraton Grand at Wild Horse Pass Sheraton Grand at Wild Horse Pass, 5594 W Wild Horse Pass Blvd., Phoenix, AZ, United States

    The 22nd Annual Device Packaging Conference (DPC 2026) will be held in Phoenix, Arizona, on March 2-5, 2026. It is an international event organized by the International Microelectronics Assembly and Packaging Society (IMAPS). The conference is a major forum for the exchange of knowledge and provides numerous technical, social and networking opportunities for meeting leading …

  • Semitracks Course: Semiconductor Reliability and Product Qualification

    Munich, Germany Munich, Germany

    Product reliability and qualification continues to evolve with the electronics industry. New electronics applications require new approaches to reliability and qualification. In the past, reliability meant discovering, characterizing and modeling failure mechanisms, and determining their impact on the reliability of the circuit. Today, reliability can involve tradeoffs between performance and reliability; assessing the impact of …

  • GOMACTech 2026

    New Orleans Ernest N. Morial Convention Center New Orleans Ernest N. Morial Convention Center, 900 Convention Center Blvd, New Orleans, LA, United States

    GOMACTech (Government Microcircuit Applications & Critical Technology Conference) “Beyond the Noise” GOMACTech was established primarily to review developments in microcircuit applications for government systems. Established in 1968, the conference has focused on advances in systems being developed by the Department of Defense and other government agencies and has been used to announce major government microelectronics …

  • embedded world 2026

    Exhibition Centre Nuremberg Exhibition Centre Nuremberg, Messezentrum 1, Nürnberg, Germany

    Global platform for the embedded community The embedded world Exhibition&Conference provides a global platform and a place to meet for the entire embedded community, including leading experts, key players and industry associations. It offers unprecedented insight into the world of embedded systems, from components and modules to operating systems, hardware and software design, M2M communication, …

  • SNUG Silicon Valley

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    For more than three decades, SNUG Silicon Valley has connected engineers, designers, and thought leaders with technical experts to network and share best practices for tackling design and verification challenges using Synopsys technologies. The Call for Content invites you to showcase how you are developing tomorrow’s products today with Synopsys solutions. This year, in addition …

  • OFC 2026

    Los Angeles Convention Center 1201 S Figueroa St, Los Angeles

    Explore the Ever-Expanding Optical Networking and Communications Industry Plenary Session Esteemed industry luminaries from Coherent, NVIDIA and Tesat-Spacecom will headline the event Tuesday, 17 March. These distinguished speakers will explore cutting-edge technologies, and provide invaluable insights into the evolving landscape of optical networking and communications. Learn more. The Exhibition The exhibition features more than 700 industry-leading companies representing the entire …

  • Semitracks Course: EOS, ESD and How to Differentiate

    Munich, Germany Munich, Germany

    Electrical Overstress (EOS) and Electrostatic Discharge (ESD) account for most of the field failures observed in the electronics industry. Although EOS and ESD damage can at times look quite similar to each other, the source each and the solution can be quite different. Therefore, it is important to be able to distinguish between the two …

  • The Samson International Smart Mobility Summit

    Expo Tel Aviv Expo Tel Aviv, Rokach Blvd 101, Tel Aviv-Yafo, Israel

    Experience: Tech exhibition featuring 100+ groundbreaking mobility startups Keynote speakers, Panels and Robust discussions on emerging themes Tailored satellite events, B2B engagements, site visits and curated forums Welcoming remarks by the Minister of Transport & Road Safety A global audience of mobility movers and shakers for immersive networking and business opportunities Step into the mobility Revolution with AI, sustainability and the changing economics of …

  • NVIDIA GTC AI Conference

    San Jose Convention Center 150 W San Carlos St, San Jose, CA, United States

    NVIDIA GTC is the premier global AI conference, where developers, researchers, and business leaders come together to explore the next wave of AI innovation. From physical AI and AI factories to agentic AI and inference, GTC 2026 will showcase the breakthroughs shaping every industry. Join us in venues throughout downtown San Jose for inspiring sessions, …

  • 2026 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics (FCMN)

    Monterey Marriott 350 Calle Principal, Monterey, CA, United States

    Overview The 2026 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics (FCMN) will be held at the Monterey Marriott in Monterey, CA from March 16-19, 2026. The FCMN will bring together scientists and engineers interested in all aspects of the characterization technology needed for nanoelectronic materials and device research, development, integration, and manufacturing. All approaches …

  • Wide-Bandgap Developer Forum 2026

    Virtual

    Join us again this year as our experts spend a whole day sharing their technical knowledge and expertise in SiC and GaN technology and in a wide range of applications. For those who attend every year, it will once again be an exciting, technically challenging day focused on wide-bandgap technology. For newcomers, it marks the …

  • IRPS 2026

    Loews Ventana Canyon Resort Loews Ventana Canyon Resort, 7000 N Resort Dr, Tuscon, AZ, United States

    About IRPS For over 60 years, IRPS has been the premiere conference for engineers and scientists to present new and original work in the area of microelectronics reliability. Drawing participants from the United States, Europe, Asia, and all other parts of the world, IRPS seeks to understand the reliability of semiconductor devices, integrated circuits, and …

  • APEC 2026

    Henry B. González Convention Center 900 E Market St, San Antonio, TX, United States

    Focusing on the practical and applied aspects of the power electronics business. This is not just a designer’s conference; APEC has something of interest for anyone involved in power electronics. Dear Colleagues, It is my great honor to welcome you to San Antonio for the 41st IEEE Applied Power Electronics Conference and Exposition (APEC). Serving as …

  • RSAC 2026

    Moscone Center 747 Howard Street, San Francisco, CA, United States

    The Power of Community Starts with You: Registration for RSAC 2026 is Now Open! Ideas become breakthroughs when shared. Challenges become opportunities when tackled together. That’s the Power of Community—a key focus for RSAC™ 2026 Conference. Real change happens when cybersecurity professionals unite. Whether you're an RSAC™ Conference first-timer or a returning attendee you won’t want to …

  • SEMICON China 2026

    Shanghai New International Expo Centre 2345 Longyang Rd, Shanghai, Pudong, China

    SEMICON China attracts the world's leading technology companies who design, develop, manufacture, and supply the technologies to manufacture the microelectronics that drive today's most sophisticated consumer and commercial electronic products. REGISTER HERE

  • MSEC 2026

    Hyatt Regency Boston / Cambridge Hyatt Regency Boston / Cambridge, 575 Memorial Dr, Cambridge, MA, United States

    SENSORIZATION: ENABLING A NEW INTELLIGENCE The MEMS and Sensors Executive Conference 2024 is designed for senior executives across the MEMS and sensors supply chain and adjacent industries.  Industry economic, business updates will be covered from different aspects of the ecosystem, together with forward-looking strategic and technology trends. The opportunities and challenges brought about by key …

  • SPIE Photonics Europe 2026

    Palais de la Musique et des Congrès Palais de la Musique et des Congrès, Place de Bordeaux, Strasbourg, France

    Hear the latest advances from leading experts. Join colleagues in Strasbourg. Hear research presented at this specialized European event for optical instrumentation with the latest advances in optical systems applications, materials, and processing. We look forward to seeing everyone in April. Registration is open. The programme will be available in January. Conferences: 12–16 April 2026 …

  • CadenceLIVE Silicon Valley 2026

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    Join us on April 16 for CadenceLIVE Silicon Valley 2026, where Cadence technology users connect with the engineers and industry leaders who develop the solutions and the industry experts who influence market trends. Participants experience a day of learning, connection, and cutting-edge technology shaping the future of electronic design and intelligent systems. This premier event …

  • 2026 IEEE Custom Integrated Circuits Conference (CICC)

    Seattle, Washington Seattle, WA, United States

    Join us for CICC 2026, the world's premier conference devoted to IC development. April 19 – 22, 2026 Seattle, WA, USA April 22 - 23, 2026 - CHISIC Workshop About CICC The IEEE Custom Integrated Circuits Conference is a premier conference devoted to IC development. The conference program is a blend of oral presentations, exhibits, …

  • DATE 2026

    Palazzo della Gran Guardia Palazzo della Gran Guardia, Piazza Brà, Verona, Italy

    Design, Automation and Test in Europe Conference | The European Event for Electronic System Design & Test Call for Papers The DATE conference is the main European event bringing together designers and design automation users, researchers and vendors, as well as specialists in hardware and software design, test, and manufacturing of electronic circuits and systems. …

  • 2026 MRS Spring Meeting & Exhibit

    Honolulu, Hawaii Honolulu, HI, United States

    The 2026 MRS Spring Meeting & Exhibit, taking place April 26–May 1 in Honolulu, Hawai‘i, will convene materials researchers from academia, industry, government and national laboratories together for a week of cross-disciplinary collaboration and scientific exchange. Set against the backdrop of one of MRS’s most inspiring locations, the Meeting & Exhibit will feature breakthroughs in areas …

  • User2User North America 2026

    Santa Clara Marriott Santa Clara, CA, United States

    Join us for the User2User North America event, which is a dedicated environment for exchanging ideas, information and best practices that enable you to lead in your role and achieve success with your customers. Become a Speaker About User2User User2User is your opportunity to learn, grow and connect with fellow technical experts who design leading-edge …

  • SEMIEXPO Heartland

    Detroit Marriott at the Renaissance Center 400 Renaissance Dr W, Detroit, MI, United States

    FOCUSED ON SMART MANUFACTURING & SMART MOBILITY DRIVING SEMICONDUCTOR BUSINESS IN THE MIDWEST  Thank you for being part of the launch of SEMIEXPO Heartland—where Smart Manufacturing and Smart Mobility came together like never before! This groundbreaking event opened new opportunities for collaboration and growth, driving us toward the semiconductor industry’s $1T future. With so much innovation …

  • Display Week 2026

    Los Angeles Convention Center 1201 S Figueroa St, Los Angeles

    Where Innovation Meets Display. Gain insights directly from the experts shaping the future of display technology. Explore next-generation innovations from over 200 leading manufacturers and emerging players. Engage with global engineers, developers, and decision-makers in discussions that are driving technical advancement and commercial impact. Gain fresh perspectives, ingenious strategies, and the motivation to advance your work. The …

  • IEEE International Symposium on Hardware Oriented Security and Trust (HOST)

    Washington DC, USA Washington DC, DC, United States

    The premier symposium that facilitates the rapid growth of hardware-based security research and development. Since 2008, HOST has served as the globally recognized event for researchers and practitioners to advance knowledge and technologies related to hardware security and assurance. May 4 – 7 2026 Washington DC, USA About the Conference Rapid proliferation of computing and …

  • SEMICON Southeast Asia 2026

    MITEC (Malaysia International Trade and Exhibition Centre) Kompleks MITEC, 8, Jalan Dutamas 2, Kompleks Kerajaan, Kuala Lumpur, Wilayah Persekutuan, Malaysia

    Expanding The Coverage of the Electronics Manufacturing Supply Chain! SEMI Southeast Asia SEMI Southeast Asia was established in 1993, the same year the SEMICON Singapore exhibition was established. The aim of the SEMI Southeast Asia office is to provide all of the SEMI International Services to the region in a timely manner. If you happen …

  • Embedded Vision Summit 2026

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    The premier conference for innovators incorporating computer vision and AI in products The 2025 Summit has now concluded. Join us May 11-13, 2026! Attend an Immersive Experience At the premier conference for innovators incorporating computer vision and AI into products Join 1,400+ product and application developers, business leaders, investors and customers—all focused on perceptual AI …

  • ASMC 2026

    Hilton Albany 40 Lodge St, Albany, NY, United States

    ASMC brings together manufacturers, equipment and materials suppliers, and academia to solve manufacturing challenges with innovative strategies and methodologies. View the 2025 Agenda Advancing Semiconductor Manufacturing Excellence ASMC is the leading international technical conference for discussing solutions that improve the collective manufacturing expertise of the semiconductor industry. Solving the challenges presented by semiconductor manufacturing is …

  • User2User Europe 2026

    Munich, Germany

    Join us in Munich where peer-delivered technical sessions were be shared across a breadth of topics so you can explore new concepts or dive deep in your core area of expertise with fellow like-minded professionals. Speaker submissions now open! About User2User The User2User conference is your opportunity to learn, grow and connect with fellow technical …

  • ChipEx2026

    Tel Aviv, Israel Tel Aviv, Israel

    The major annual event of the Israeli semiconductor industry ChipEx2026, the largest annual event of the Israeli semiconductor industry, will be held on May 12-13, 2026 in Tel Aviv, Israel. ChipEx2026 showcases companies including manufacturers, developers and suppliers of advanced hardware technologies & services. It also includes a technical seminar where the world's leading experts address the industry's most relevant …

  • DVCon China 2026

    Shanghai Renaissance Pudong Hotel Renaissance Shanghai Pudong, 719 Yingchun Rd,, Pudong, Shanghai, China

    Hello everyone! Welcome to the 2026 DvCon China Conference! As the chair of this conference, l am truly honored to be here with all of you. lt's exciting to gather together and discuss the latest trends and cutting-edge technologies in the field of design verification. In recent years, we've seen tremendous growth in China's chip development …