Name: Intel Foundry Services (IFS)
Parent Company: Intel Corporation
Business Type: Internal business unit
Founded: March 2021
Headquarters: Santa Clara, California, USA
Global Presence: U.S., Germany, Israel, Ireland, India, Malaysia
Industry: Semiconductor Manufacturing Services
Website: https://www.intel.com/foundry
Overview
Intel Foundry Services (IFS) is a dedicated business unit within Intel Corporation, established to provide semiconductor manufacturing services to external customers. It is a core pillar of Intel’s IDM 2.0 strategy, which was introduced by CEO Pat Gelsinger in 2021 to transform Intel into a global leader in advanced foundry services.
IFS represents a fundamental shift in Intel’s business model—from designing and manufacturing its own chips (Integrated Device Manufacturer, IDM) to becoming a commercial foundry that builds chips for other companies. It competes directly with industry giants like TSMC, Samsung Foundry, and GlobalFoundries.
Background and Strategic Motivation
Before the formation of IFS, Intel was an IDM with a long-standing tradition of vertical integration—designing and manufacturing all its own semiconductors. However, growing manufacturing challenges, delays in process node transitions, and increasing reliance on third-party foundries by competitors prompted a strategic reset.
In March 2021, Intel unveiled IDM 2.0, a three-pronged approach:
-
Internal manufacturing of Intel’s own products.
-
Use of third-party foundries (e.g., TSMC) for certain products.
-
Intel Foundry Services (IFS) – opening up Intel fabs to external customers for the first time in history.
IFS was launched to diversify Intel’s revenue, maximize fab utilization, and enhance global semiconductor resilience.
Technology Portfolio
Intel Foundry Services offers a comprehensive suite of technologies across process, packaging, IP, and software enablement.
1. Process Nodes
IFS provides customers access to Intel’s cutting-edge and mature manufacturing nodes:
-
Intel 7 – Enhanced 10nm SuperFin process.
-
Intel 4 – First process using EUV lithography.
-
Intel 3 – Further EUV-enhanced node with performance-per-watt improvements.
-
Intel 20A – Introduces RibbonFET (GAA transistors) and PowerVia (backside power delivery), targeted for 2024.
-
Intel 18A – Delivers enhanced RibbonFET/PowerVia and is designed for both internal and foundry customers (2025+).
2. Packaging Technologies
IFS leads the industry in advanced heterogeneous integration:
-
Foveros – 3D die stacking.
-
EMIB (Embedded Multi-die Interconnect Bridge) – Advanced 2.5D packaging.
-
Foveros Direct – Chiplet-to-chiplet interconnect with direct copper bonding.
3. IP Ecosystem
IFS supports a diverse set of IP and tools:
-
x86, Arm, RISC-V, and custom architectures.
-
EDA partnerships with Cadence, Synopsys, Siemens, Ansys.
-
IP partners include CEVA, Alphawave Semi, Rambus, and more.
-
Foundry-ready I/O, memory, analog, and security IP.
4. Open Ecosystem and Design Support
IFS has built:
-
IFS Accelerator – An ecosystem program supporting design tools, IP, and design services.
-
Unified Design Platform (UDP) – A holistic software environment for test, debug, and verification.
-
UCIe Support – Intel co-founded the Universal Chiplet Interconnect Express (UCIe) standard for multi-vendor chiplet interoperability.
Key Partnerships and Customers
Notable Customers
-
MediaTek: Partnered with IFS to manufacture mobile SoC products.
-
U.S. Department of Defense (DoD): IFS is participating in the RAMP-C program to build trusted chips for national security.
-
Amazon AWS and Google: Interest in custom chip manufacturing.
-
U.S. Department of Commerce: Supports IFS under the CHIPS and Science Act initiatives.
Strategic Partnerships
-
Arm: Collaboration to ensure Arm IP works efficiently on Intel 18A.
-
Brookfield Asset Management: $15B joint investment into Intel Arizona fabs.
-
Siemens EDA, Cadence, Ansys: For design enablement and validation flows.
-
Microsoft, Meta, Qualcomm: Engaged in advanced packaging or chiplet strategy discussions.
Global Footprint
Intel is investing heavily in global capacity expansion to meet customer needs and national strategic goals:
-
Arizona (USA): Building Fab 52 and Fab 62 with 18A/20A capabilities.
-
Ohio (USA): A massive new fab site in Licking County—$20–30 billion investment, dubbed “Silicon Heartland.”
-
Magdeburg (Germany): $30+ billion project supported by the EU Chips Act for EU sovereignty.
-
Ireland: Upgrades to existing fabs for leading-edge production.
-
Israel (Kiryat Gat): Ongoing expansions to support global R&D and foundry demand.
-
Malaysia and Vietnam: Key locations for packaging and assembly.
Leadership
As of 2025:
-
, Senior Vice President – General Manager, Foundry Services
-
IFS reports directly to Intel’s executive officer Lib-Bu Tan with operational independence.
The unit operates with its own sales, customer support, and technology teams, separate from Intel’s product divisions, ensuring confidential treatment of customer IP and design roadmaps.
Strategic Role and Geopolitical Importance
IFS is central to Intel’s—and the U.S. government’s—efforts to restore semiconductor sovereignty and resilience:
-
Reduces dependence on Asian fabs (especially Taiwan).
-
Supports defense, aerospace, automotive, and industrial supply chains.
-
Aligns with CHIPS Act funding goals.
-
Plays a role in transatlantic partnerships (EU-U.S. semiconductor alliance).
Challenges
IFS faces several key challenges:
-
Competing with TSMC’s unmatched scale, customer diversity, and manufacturing efficiency.
-
Building customer trust in Intel’s ability to deliver as a merchant foundry.
-
Maintaining strict firewalls between internal Intel products and external customer IP.
-
Keeping pace with technological execution, especially for Intel 18A and PowerVia.
-
Educating the market about Intel’s new role and capabilities as a foundry.
Recent Milestones (as of 2025)
-
First test chips on Intel 18A delivered ahead of schedule to both internal and external customers.
-
Multiple customer designs using UCIe-based chiplets entering prototype phase.
-
Integration of AI/ML accelerators into custom silicon solutions.
-
Strong early adoption in automotive, data center, and defense markets.
Conclusion
Intel Foundry Services represents one of the most ambitious transformations in Intel’s history. With massive investments in advanced manufacturing, packaging, open ecosystem support, and global facilities, IFS is positioned as a critical pillar for Intel’s long-term growth and a strategic asset for the global semiconductor ecosystem.
If successful, IFS could help reshape the global foundry market, reduce geopolitical risk, and cement Intel’s role as both an industry leader and a technological enabler of the digital future.
TSMC N3 Process Technology (3nm) Wiki