28nm FD-SOI: A Unique Sweet Spot Poised to Grow

28nm FD-SOI: A Unique Sweet Spot Poised to Grow
by Pawan Fangaria on 11-11-2015 at 12:00 pm

I have been silently watching STMicroelectronics pursuing FD-SOI technology since quite a few years. FinFET was anyway getting more attention in the semiconductor industry because of several factors involved. But from a technology as well as economic perspective there are many plus points with FD-SOI. I remember my debate,… Read More


Coventor prepping MEMS for CMOS integration

Coventor prepping MEMS for CMOS integration
by Don Dingee on 10-07-2015 at 12:00 pm

About 11 months ago, I wrote a piece titled “Money for data and your MEMS for free.” In that, I took on the thinking that TSMC is just going to ride into town, fab trillions of IoT sensors, and they all will be 2.6 cents ten years from now. Good headline, but the technology and economics are not that simple. This may be the semiconductor … Read More


An Universe of Formats for IP Validation

An Universe of Formats for IP Validation
by Pawan Fangaria on 06-19-2015 at 4:30 pm

Although I knew about Crossfire’s capabilities for signing off quality of an IP before its integration into an SoC, there was much more to learn about this tool when I visited Fractal Technologies booth during this DAC. The complexity handled by this tool to qualify any type of IP for its integration into an SoC can be imagined by the… Read More


SITRI and Coventor Partner to Scale Up MEMS in China

SITRI and Coventor Partner to Scale Up MEMS in China
by Pawan Fangaria on 05-28-2015 at 12:00 pm

When it comes to wearable technology and the rapidly emerging world of IoT, sensors and MEMS are on the frontlines. They collect and transfer raw data such as pressure, temperature and motion and process it with algorithms critical to making sure the right information gets to humans and/or machines so the right reaction is enabled.… Read More


PDK Generation Needs Paradigm Shift

PDK Generation Needs Paradigm Shift
by Pawan Fangaria on 04-28-2015 at 4:00 pm

For any semiconductor technology node to be adopted in actual semiconductor designs, the very first step is to have a Process Design Kit (PDK) developed for that particular technology node and qualified through several design tools used in the design flow. The development of PDK has not been easy; it’s a tedious, time consuming,… Read More


IP for IoT: Thanks for the Memory

IP for IoT: Thanks for the Memory
by Paul McLellan on 03-01-2015 at 4:57 pm

The Internet of Things (IoT) is clearly the buzzword of the moment, and like many catchy phrases it also tends to mean what you want it to mean, rolling up some things that exist like the automotive market or industrial automation, along with markets for things like wearables and healthcare that are largely in the future. But however… Read More


7nm node is arriving, which ones will continue past 2020?

7nm node is arriving, which ones will continue past 2020?
by Pawan Fangaria on 02-17-2015 at 6:30 pm

‘Laughing Buddha’ is eternal, but for semiconductor industry, I must say it’s ‘laughing Moore’. Moore made a predictive hypothesis and the whole world is inclined to let that continue, eternally? When we were at 28nm, we weren’t hoping to go beyond 20/22nm; voices like ‘Moore’s law is dead’ started emerging. Today, we are already… Read More


What Comes After FinFET?

What Comes After FinFET?
by Paul McLellan on 08-10-2014 at 11:01 pm

So what comes after FinFETs? At 14/16nm (or 22nm if you are Intel) we had FinFET transistors, where the channel was no longer planar but stuck out of the wafer vertically, and the gate wrapped around it on 3 sides. The key thing that made FinFET transistors attractive was that the channel was thin so that the gate controlled it well. … Read More


Evaluate MEMS Devices out-of-fab Before Fabrication

Evaluate MEMS Devices out-of-fab Before Fabrication
by Pawan Fangaria on 03-21-2014 at 10:30 am

MEMS design and fabrication is highly complex in the sense that the fabrication process heavily depends on the design, unlike IC fabrication which has a standard set of processes. A slight change in MEMS design can alter its fabrication steps to a large extent. For example, setting device parameters such as capacitance or linear… Read More