Sonics opens new strategy for SoC energy processing

Sonics opens new strategy for SoC energy processing
by Don Dingee on 05-09-2016 at 4:00 pm

Back in February when we shared the Sonics philosophy on the ICE-Grain Power Architecture for hardware-based SoC power management, I speculated we’d know more by DAC 2016. Sonics is hitting the road with a new live seminar coming to Silicon Valley this month and Austin during DAC – and the news is big.… Read More