This year’s IEDM showcased a wide range of 7nm processes. First, the IBM alliance including GlobalFoundries and Samsung showed an integration of SADP (self-aligned double patterning) for gates, SAQP (self-aligned quadruple patterning) for fins and EUV for metallization (minimum pitch=36 nm). They showed the logic behind their choices with the graph below:
View attachment 22941
EUV still has some ways to go to become a legitimate successor to immersion. The EUV light collector has a lifetime of months currently, far less than mainstream immersion tools. The power requirement must address increasing shot noise issues (EUV Shot Noise Impact on 7nm) and printable defects can arise from surface contamination without any pellicle and even from buried defects only 0.5-0.6 nm high[1]. Although EUV holds promise for printing 2D patterns it is actually handicapped by horizontal-vertical differences across the illumination slit below 100 nm pitch[2]. Finally, resist outgassing is a problem that is still being studied[3].
LELE pitch-splitting: the earliest multi-patterning
Largely due to these unresolved EUV difficulties, multi-patterning techniques have emerged in leading edge and even maturing technologies. The 20/16/14nm nodes all have a minimum pitch down to around 60 nm. Since the minimum resolvable pitch for immersion lithography is around 80 nm, a 60 nm pitch layout is split into two interleaving sub-layouts, each of 120 nm pitch. In this “pitch-splitting”, every pair of features is divided among two masks. The two masks cannot expose the same resist layer, as the second exposure would wash out the first. Instead, after the first mask is exposed, the layer underneath is etched, then a second resist layer is coated for the second mask to expose. This is followed by a second etch. Due to this flow, it is often called LELE for “Litho-Etch-Litho-Etch”. As expected, the overlay between the two mask exposures is extremely critical.
The 10nm/7nm nodes all appear to have pitches that go down to around 40 nm. Actually this was confirmed in the case of TSMC’s 7nm, also shown at this year's IEDM. TSMC was able to do this with pitch-splitting. Their paper indicated two metal line sets, A and B, whose resistance distributions were then compared. This implies two exposure definitions (LELE). On the other hand, it could also be patterned by SADP (to be discussed below).
SADP/SAQP
SADP is actually very popular among makers of Flash and DRAM memory. The process involves depositing a layer over pre-patterned features, then etching them back to leave only portions on the sidewall called spacers. The spacer pitch is half the pre-patterned feature pitch. A graphic description can be found on Wikipedia here:File:Spacer Patterning.JPG - Wikimedia Commons
SADP does have the advantage of less sensitivity to overlay, but on the other hand, it depends on good spacer width control, as well as good dimensional control of the feature supporting the spacer, usually called the mandrel or core. Moreover, the spacer always forms a loop. To avoid metal loops, at least one additional mask is needed to cut the loop.
However, if the spacer is used to pattern the inter-metal dielectric, then loop or line cutting is not necessary, but a mask may be needed for broader “trimming”. Also, there will be two groups of metal, one defined before the spacer, the other defined after. Unless a uniform spacing between lines is enforced in the layout, metal layouts using this approach can't be fully self-aligned; at least one edge is likely to be exposure-defined. Thus, when the spacer defines the metal edge only in some areas, it's not truly self-aligned double patterning. For a layout with fully uniform inter-metal spacing, it would be possible to use only one immersion exposure. As shown in the picture below (taken from Wikipedia), one set of metal of one color would be defined before spacer from the core/mandrel litho, the other after spacer, without any direct litho definition. But I would consider this a special SADP case. There is still a concern about excess capacitance with this wiring arrangement.
View attachment 18825
Two successive SADPs constitute SAQP, as it allows the pitch to be quartered from two successive halvings. This is what Intel uses for its 10nm. Intel announced their 10nm design rules at their Technology and Manufacturing Day (Intel's Manufacturing Day Materials). Minimum metal pitch is 36 nm, while gate pitch is 54 nm and fin pitch is 34 nm. For SAQP the trenches for metal are in between the final spacers. SAQP layers are generally designed to be lines of comparable length.
If TSMC uses SADP at 7nm, it is unlikely that the spacers are defining the metal linewidths. There can't be any A/B assignment as mentioned above if all metal lines were defined from the same spacer width. On the other hand, the spacer may be used to define the intermetal dielectric width, as mentioned above. This is the so-called "Spacer-is-Dielectric" (SID) style of SADP. The core feature supporting the spacer defines one set of metal, while the remaining metal is defined by gaps between spacers.
Samsung and Triple Patterning
Samsung has reported its use of bi-directional triple patterning for its 10nm (Samsung Starts Industry’s First Mass Production of System-on-Chip with 10-Nanometer FinFET Technology – Samsung Global Newsroom). There are two kinds of triple patterning. There is “LELELE”, which is the natural extension of LELE with a third exposure, and SATP (self-aligned triple patterning), which uses a bi-layer spacer with the two spacer layers etched separately [4], so that the final pitch is one-third the original core pitch. LELELE is more likely to be bi-directional than SATP. More recently, Samsung announced its 10LPU (Samsung Expands its Advanced Foundry Offerings with 14LPU and 10LPU Processes – Samsung Global Newsroom). Possibly, they pushed LELELE to a smaller pitch.
Which one to use?
Actually the different patterning techniques shown by the three companies beg a cost comparison. For sure LELE is cheaper than LELELE. SADP could have the same mask exposure cost as LELE if only one cut or trim mask were used, but it has an extra spacer deposition and etch and core removal step. However, it may be difficult to avoid a 3rd LE, for example, if one line has a break while the adjacent line doesn't. In that case, the broken line must have one color before the break and another color after the break. The adjacent unbroken line gets the third color, corresponding to the 3rd LE. So it looks like a choice between LELELE and SADP. TSMC had two sets of metal, so they likely did not use LELELE. Another possibility is LELE alternating lines with 1-2 additional exposures for line cuts. But LELE, compared to SADP, uses an extra litho
(mask) to define alternating lines, and that is not so attractive.
What is next?
The final thought of course is what next? It is helpful to refer to the IBM alliance graph earlier. To scale a full node down from ~40 nm pitch requires ~28 nm pitch capability; a full node beyond that requires ~20 nm pitch capability. This is beyond the current EUV single exposure capability, as seen in the above graph from the IBM alliance. A high-NA EUV tool might appear after 2020 but its development has only just started recently with the ASML-Zeiss JV (ZEISS and ASML strengthen partnership for next generation of EUV lithography due in early 2020s). Therefore, the current EUV tools would require double patterning for ~28 nm pitch and more patterning than that for ~20 nm pitch. It would obviously be more expensive than TSMC’s 7nm process today. Without EUV, immersion would require quadruple patterning down to 20 nm pitch. SAQP is the most commonly expected choice. However, SAQP using line patterns must avoid numerous cut locations.
References cited:
1. S. Huh, P. Kearney, S. Wurm, F. Goodwin, K. Goldberg, I. Mochi, and E. Gullikson, "Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology", Proc. of SPIE vol. 7271, 72713J, (c) 2009 SPIE.
2. G. McIntyre, C-S. Koay, M. Burkhardt, H. Mizuno, and O. Wood, "Modeling and Experiments of Non-Telecentric Thick Mask Effects for EUV Lithography", Proc. of SPIE vol. 7271, 72711C, (c) 2009 SPIE.
3. E. Shiobara, Y. Kikuchi, S. Mikami, T. Sasami, T. Kamizono, S. Minegishi, T. Kimoto, T. Fujimori, S. Tanaka, T. Watanabe, T. Harada, H. Kinoshita, "EUV resist outgassing analysis for the new platform resists at EIDEC", Proc. of SPIE vol. 9776, 97762H, (c) 2016 SPIE. Also, reported at: http://ieuvi.org/TWG/Resist/2016/20160221Meeting/07_EIDEC_Shiobara.pdf
4. W. Kang and Y. Chen, "Overlay, Decomposition and Synthesis Methodology for Hybrid Self-Aligned Triple and Negative-tone Double Patterning", Proc. of SPIE vol. 8327, 83270N, (c) 2012 SPIE.
Acknowledgment:
Thanks Daniel Nenni for requesting this topic.
View attachment 22941

EUV still has some ways to go to become a legitimate successor to immersion. The EUV light collector has a lifetime of months currently, far less than mainstream immersion tools. The power requirement must address increasing shot noise issues (EUV Shot Noise Impact on 7nm) and printable defects can arise from surface contamination without any pellicle and even from buried defects only 0.5-0.6 nm high[1]. Although EUV holds promise for printing 2D patterns it is actually handicapped by horizontal-vertical differences across the illumination slit below 100 nm pitch[2]. Finally, resist outgassing is a problem that is still being studied[3].
LELE pitch-splitting: the earliest multi-patterning
Largely due to these unresolved EUV difficulties, multi-patterning techniques have emerged in leading edge and even maturing technologies. The 20/16/14nm nodes all have a minimum pitch down to around 60 nm. Since the minimum resolvable pitch for immersion lithography is around 80 nm, a 60 nm pitch layout is split into two interleaving sub-layouts, each of 120 nm pitch. In this “pitch-splitting”, every pair of features is divided among two masks. The two masks cannot expose the same resist layer, as the second exposure would wash out the first. Instead, after the first mask is exposed, the layer underneath is etched, then a second resist layer is coated for the second mask to expose. This is followed by a second etch. Due to this flow, it is often called LELE for “Litho-Etch-Litho-Etch”. As expected, the overlay between the two mask exposures is extremely critical.
The 10nm/7nm nodes all appear to have pitches that go down to around 40 nm. Actually this was confirmed in the case of TSMC’s 7nm, also shown at this year's IEDM. TSMC was able to do this with pitch-splitting. Their paper indicated two metal line sets, A and B, whose resistance distributions were then compared. This implies two exposure definitions (LELE). On the other hand, it could also be patterned by SADP (to be discussed below).
SADP/SAQP
SADP is actually very popular among makers of Flash and DRAM memory. The process involves depositing a layer over pre-patterned features, then etching them back to leave only portions on the sidewall called spacers. The spacer pitch is half the pre-patterned feature pitch. A graphic description can be found on Wikipedia here:File:Spacer Patterning.JPG - Wikimedia Commons
SADP does have the advantage of less sensitivity to overlay, but on the other hand, it depends on good spacer width control, as well as good dimensional control of the feature supporting the spacer, usually called the mandrel or core. Moreover, the spacer always forms a loop. To avoid metal loops, at least one additional mask is needed to cut the loop.
However, if the spacer is used to pattern the inter-metal dielectric, then loop or line cutting is not necessary, but a mask may be needed for broader “trimming”. Also, there will be two groups of metal, one defined before the spacer, the other defined after. Unless a uniform spacing between lines is enforced in the layout, metal layouts using this approach can't be fully self-aligned; at least one edge is likely to be exposure-defined. Thus, when the spacer defines the metal edge only in some areas, it's not truly self-aligned double patterning. For a layout with fully uniform inter-metal spacing, it would be possible to use only one immersion exposure. As shown in the picture below (taken from Wikipedia), one set of metal of one color would be defined before spacer from the core/mandrel litho, the other after spacer, without any direct litho definition. But I would consider this a special SADP case. There is still a concern about excess capacitance with this wiring arrangement.
View attachment 18825
Two successive SADPs constitute SAQP, as it allows the pitch to be quartered from two successive halvings. This is what Intel uses for its 10nm. Intel announced their 10nm design rules at their Technology and Manufacturing Day (Intel's Manufacturing Day Materials). Minimum metal pitch is 36 nm, while gate pitch is 54 nm and fin pitch is 34 nm. For SAQP the trenches for metal are in between the final spacers. SAQP layers are generally designed to be lines of comparable length.
If TSMC uses SADP at 7nm, it is unlikely that the spacers are defining the metal linewidths. There can't be any A/B assignment as mentioned above if all metal lines were defined from the same spacer width. On the other hand, the spacer may be used to define the intermetal dielectric width, as mentioned above. This is the so-called "Spacer-is-Dielectric" (SID) style of SADP. The core feature supporting the spacer defines one set of metal, while the remaining metal is defined by gaps between spacers.
Samsung and Triple Patterning
Samsung has reported its use of bi-directional triple patterning for its 10nm (Samsung Starts Industry’s First Mass Production of System-on-Chip with 10-Nanometer FinFET Technology – Samsung Global Newsroom). There are two kinds of triple patterning. There is “LELELE”, which is the natural extension of LELE with a third exposure, and SATP (self-aligned triple patterning), which uses a bi-layer spacer with the two spacer layers etched separately [4], so that the final pitch is one-third the original core pitch. LELELE is more likely to be bi-directional than SATP. More recently, Samsung announced its 10LPU (Samsung Expands its Advanced Foundry Offerings with 14LPU and 10LPU Processes – Samsung Global Newsroom). Possibly, they pushed LELELE to a smaller pitch.
Which one to use?
Actually the different patterning techniques shown by the three companies beg a cost comparison. For sure LELE is cheaper than LELELE. SADP could have the same mask exposure cost as LELE if only one cut or trim mask were used, but it has an extra spacer deposition and etch and core removal step. However, it may be difficult to avoid a 3rd LE, for example, if one line has a break while the adjacent line doesn't. In that case, the broken line must have one color before the break and another color after the break. The adjacent unbroken line gets the third color, corresponding to the 3rd LE. So it looks like a choice between LELELE and SADP. TSMC had two sets of metal, so they likely did not use LELELE. Another possibility is LELE alternating lines with 1-2 additional exposures for line cuts. But LELE, compared to SADP, uses an extra litho
(mask) to define alternating lines, and that is not so attractive.
What is next?
The final thought of course is what next? It is helpful to refer to the IBM alliance graph earlier. To scale a full node down from ~40 nm pitch requires ~28 nm pitch capability; a full node beyond that requires ~20 nm pitch capability. This is beyond the current EUV single exposure capability, as seen in the above graph from the IBM alliance. A high-NA EUV tool might appear after 2020 but its development has only just started recently with the ASML-Zeiss JV (ZEISS and ASML strengthen partnership for next generation of EUV lithography due in early 2020s). Therefore, the current EUV tools would require double patterning for ~28 nm pitch and more patterning than that for ~20 nm pitch. It would obviously be more expensive than TSMC’s 7nm process today. Without EUV, immersion would require quadruple patterning down to 20 nm pitch. SAQP is the most commonly expected choice. However, SAQP using line patterns must avoid numerous cut locations.
References cited:
1. S. Huh, P. Kearney, S. Wurm, F. Goodwin, K. Goldberg, I. Mochi, and E. Gullikson, "Mask Defect Verification Using Actinic Inspection and Defect Mitigation Technology", Proc. of SPIE vol. 7271, 72713J, (c) 2009 SPIE.
2. G. McIntyre, C-S. Koay, M. Burkhardt, H. Mizuno, and O. Wood, "Modeling and Experiments of Non-Telecentric Thick Mask Effects for EUV Lithography", Proc. of SPIE vol. 7271, 72711C, (c) 2009 SPIE.
3. E. Shiobara, Y. Kikuchi, S. Mikami, T. Sasami, T. Kamizono, S. Minegishi, T. Kimoto, T. Fujimori, S. Tanaka, T. Watanabe, T. Harada, H. Kinoshita, "EUV resist outgassing analysis for the new platform resists at EIDEC", Proc. of SPIE vol. 9776, 97762H, (c) 2016 SPIE. Also, reported at: http://ieuvi.org/TWG/Resist/2016/20160221Meeting/07_EIDEC_Shiobara.pdf
4. W. Kang and Y. Chen, "Overlay, Decomposition and Synthesis Methodology for Hybrid Self-Aligned Triple and Negative-tone Double Patterning", Proc. of SPIE vol. 8327, 83270N, (c) 2012 SPIE.
Acknowledgment:
Thanks Daniel Nenni for requesting this topic.
Last edited: