Array ( [content] => [params] => Array ( [0] => /forum/threads/euv-was-never-going-to-be-single-patterning.8935/page-2 ) [addOns] => Array ( [DL6/MLTP] => 13 [Hampel/TimeZoneDebug] => 1000070 [SV/ChangePostDate] => 2010200 [SemiWiki/Newsletter] => 1000010 [SemiWiki/WPMenu] => 1000010 [SemiWiki/XPressExtend] => 1000010 [ThemeHouse/XLink] => 1000970 [ThemeHouse/XPress] => 1010570 [XF] => 2021770 [XFI] => 1050270 ) [wordpress] => /var/www/html )
Given what happened with 20nm and 16FFL, and the projected 1.1x density for N2; my guess is N2 uses the same BEOL as N3. EUV double has a ways to scale further. I think that EUV double, quad duv, and high-na single are capable of getting to like 19nm feature size (or something like that).That makes sense.
What about TSMC's 2N? will that be EUV quad pattering, or is that still unknown?
Remember the primary goal of N2 is GAA and then (possibly in the same initial N2, more likely a year later in an N2B [or whatever name]) BSPD.That makes sense.
What about TSMC's 2N? will that be EUV quad pattering, or is that still unknown?
Relaxed upper metal pitches, > 40nm, similar to 10nm node MMP.TSMC says they avoided double patterning on N3E by reducing density:
![]()
TSMC 3nm FinFlex + Self-Aligned Contacts, Intel EMIB 3 + Foveros Direct, AMD Yield Issues, IBM Vertical Transport FET (VTFET) + RU Interconnects, CFET, Sequential Stacking, Samsung Yield, and more
IEDM 2022 Round-UpWe recently attended the 68th Annual IEEE International Electron Devices Meeting in San Francisco. IEDM is a premiere conference for state-of-the-art semiconductors device technol…www.semianalysis.com
Even with ~50 nm pitch, stochastic behavior is still significant (https://www.semanticscholar.org/pap...bert/4773b3807b16e62a1fdb5aba1057b2989136f210), so the yield or throughput would still be impacted. A single exposure illumination also limits the pitch due to shift vs. focus, as well as best focus vs. pitch (http://euvlsymposium.lbl.gov/pdf/2015/Oral_Monday/Session2_EUV Insertion in Manufacturing 2/S2.4_Wittebrood.pdf).Relaxed upper metal pitches, > 40nm, similar to 10nm node MMP.
Not sure how much the pitches will scale. It doesn't look like CGP moved much, and the nanosheets can still be quite wide. Contact resistance can be bigger as well.Given what happened with 20nm and 16FFL, and the 1.1x density my guess is N2 is the same BEOL as N3. EUV double has a ways to scale further. I think that EUV double, quad duv, and high-na single are capable of getting to like 19nm feature size (or something like that).
The point spread function of 0.55 NA EUV is ~15 nm. The point spread function of 0.33 NA EUV is ~ 25 nm.If there were a Manhattan pattern technology printing squares in EBL, what would those squares need to be to be the equivalent of EUV NA .55 with dual patterning? I'm guessing about 14x14nm. With maybe 14nm circles for contact/vias?
HNSs offer some Lg improvements (reducing CPP), and because you have an effective 1.5 fin device you can shrink cell height. I would assume these alone could provide a >1.1x theoretical density improvement. Maybe it needs N3's BEOL rather than N3E's. But either way I think they might be enough to do the job with some modifications. We probably need to wait two more years before having any indication of whether this is the case though.Not sure how much the pitches will scale. It doesn't look like CGP moved much, and the nanosheets can still be quite wide. Contact resistance can be bigger as well.
In short, N3 still requires multipatterning for both DUV and EUV.N5 already had one double patterned layer and the minimum metal pitch disclosed by TSMC would still require double patterning. This statement was moreso that they relaxed pitches enough for 3 of the double patterned layers to move to high dose single exposures. Given the lack of announced DTCO improvements on the standard cell level and the fact that the 2 fin library is as dense as it is, it is a safe assumption that there are probably a bit more single patterned layers and multiple extra double pattered EUV layers on N3E.
19 nm (half-pitch) is possible with DUV SADP, but not every expected case.Given what happened with 20nm and 16FFL, and the 1.1x density my guess is N2 is the same BEOL as N3. EUV double has a ways to scale further. I think that EUV double, quad duv, and high-na single are capable of getting to like 19nm feature size (or something like that).
38 nm half-pitch is a practical limit for DUV immersion single pass exposure, some tool have gone to 37 nm.I assume you mean EUV SADP? Because I thought DUV SADP ran out of steam at like 48nm?
I’m not even going to attempt to figure out how you derived all of that information from one low resolution cross section.I visually estimated the lower metal pitches from Figure 15 of the N3E IEDM paper 27.5:
View attachment 1044
The 23 nm pitch layers cannot be single exposure since the pupil fill is too low, which means the EUV system itself is absorbing a lot of the EUV light. The next few layers I estimated to be around 30 nm pitch. Presumably these would be assumed to be the single exposure layers, but the M3 line gap space is also tight (~30 nm), so a cut is still necessary. It seems cuts are not included in the single exposure description, but the layer should be considered a multi-patterned layer nonetheless, since a cut is an additional exposure. And as far as safe cuts are concerned, SALELE would still be the preferred way. M5 looks like it may not require cuts since the gaps are wider. Above M5, the pitch jumps up ~2X, so it looks like around 60 nm, very relaxed, like early double patterning days.
pitch or half pitch? For that to be pitch, with 12 nm wide metal, they would need an LELE process after EUV linear patterning, right?The 23 nm pitch layers
That or SADP plus cuts.pitch or half pitch? For that to be pitch, with 12 nm wide metal, they would need an LELE process after EUV linear patterning, right?
Recent data https://www.researchgate.net/public...ty_electrical_test_and_voltage_contrast_study shows 28 nm pitch having an 80 nm difference of best focus (for minimal LER) from 32 nm pitch:Even with ~50 nm pitch, stochastic behavior is still significant (https://www.semanticscholar.org/pap...bert/4773b3807b16e62a1fdb5aba1057b2989136f210), so the yield or throughput would still be impacted. A single exposure illumination also limits the pitch due to shift vs. focus, as well as best focus vs. pitch (http://euvlsymposium.lbl.gov/pdf/2015/Oral_Monday/Session2_EUV Insertion in Manufacturing 2/S2.4_Wittebrood.pdf).
View attachment 1040
What phenomenon causes LER to improve when focus is off by -70nm? You can see the LER decreases even further at -100nm but Fig. 4(b) shows many other defects by that point (they do not show the images for less than 60 which would have been interesting).shows 28 nm pitch having an 80 nm difference of best focus (for minimal LER) from 32 nm pitch: