You are currently viewing SemiWiki as a guest which gives you limited access to the site. To view blog comments and experience other SemiWiki features you must be a registered member. Registration is fast, simple, and absolutely free so please, join our community today!
Salzburg Congress
Auerspergstraße 6, Salzburg, Austria
Be part of the largest independent, international conference dedicated exclusively to engineering simulation. Discover new technologies & innovative techniques, whilst networking with end-users, software vendors, consultants and academics, in this worldwide celebration of simulation. Immerse yourself in the world of simulation, present your work, learn from others, and forge lasting professional relationships with your peers in the modelling and …
Product reliability and qualification continues to evolve with the electronics industry. New electronics applications require new approaches to reliability and qualification. In the past, reliability meant discovering, characterizing and modeling failure mechanisms, and determining their impact on the reliability of the circuit. Today, reliability can involve tradeoffs between performance and reliability; assessing the impact of …
Santa Clara Convention Center
Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States
Founded in 2011, the Edge AI and Vision Alliance is a worldwide industry partnership that brings together technology providers who are enabling innovative and practical applications for edge AI and computer vision. Its nearly 100 Member companies include suppliers of processors, sensors, software and services. The mission of the Alliance is to accelerate the adoption of edge AI …
The MTC (Manufacturing Technology Centre)
The MTC (Manufacturing Technology Centre), Lloyds Bank Advanced Manufacturing Training Centre Airfield Drive, Ansty Business Park, Coventry, United Kingdom
Event Overview After a successful 2024 Innovation Conference, this year's event will form part of the Simulation World global series, expanding the event scope and offerings to provide you with leading keynotes, compelling content, interactive workshops, and powerful ideas and insights to support your day-to-day projects. This year will focus on our core product collections, …
POWERING INNOVATION THAT DRIVES HUMAN ADVANCEMENT Having the right solution at the right time can mean the difference between tabling thoughts or transforming industries. Ansys technologies put the power of innovation in your hands. Join us for a free global simulation event that is designed to inspire, equip and empower you to innovate. REGISTER HERE
Royal Aeronautical Society Headquarters
Royal Aeronautical Society Headquarters, No.4 Hamilton Place, London, United Kingdom
Royal Aeronautical Society Headquarters Conference Booking is now open for the third edition of the Royal Aeronautical Society's FCAS Summit! Click the 'Book now' button near the end of this page to reserve your ticket. The UK and global defence landscape is increasingly complex, with heightened geopolitical tensions and rapid technological advancement presenting both opportunities …
Ray tracing is at the heart of optical design, enabling engineers to analyze and optimize the performance of optical systems across diverse applications. This webinar will explore how Ansys Zemax leverages sequential and non-sequential ray tracing to streamline optical system development, from imaging optics to complex illumination and stray light analysis. Date / Time: May …
The Brookstreet Hotel Ottawa
The Brookstreet Hotel Ottawa, 525 Legget Dr., Ottawa, Ontario, Canada
Event Highlights As a part of the Simulation World 2025 event series, this event offers expert-led sessions, real-world success stories, and valuable insights into the Ansys simulation strategy. Hear from leading industry speakers showcasing their innovative use of simulation and discover tools to optimize processes and turn bold ideas into reality. REGISTER HERE
Keysight
Keysight, 5301 Stevens Creek Blvd., Building 5, Santa Clara, CA, United States
Join the ESD Alliance for an informative evening of networking, presentations, and a panel! Time 5:30 pm - 8:30 pm PDT How Multi-Physics is Reshaping Chip Design and EDA Tools Increasing system complexity and shrinking semiconductor devices are changing the chip design landscape. Traditional single-domain analyses are no longer sufficient to ensure a successful product. …
The 30th IEEE European Test Symposium (ETS) is Europe's premier forum dedicated to presenting and discussing scientific results, emerging ideas, hot topics and new trends, as well as industrial case-studies and applications in the area of electronic-based circuits and systems testing, reliability, safety, security and validation. This year we will celebrate the anniversary 30th edition of ETS! …
Gaylord Texan Resort and Convention Center
1501 Gaylord Trail, Grapevine, TX, United States
About ECTC The Electronic Components and Technology Conference (ECTC) is the premier international event that brings together the best in packaging, components and microelectronic systems science, technology and education in an environment of cooperation and technical exchange. ECTC is sponsored by the IEEE Electronics Packaging Society. The technical program contains papers covering leading edge developments …
Overview VIRTUAL EVENT Join us for this virtual event showcasing the advancements in Stray Light Analysis for high-precision optical systems. Stray light can degrade image quality, reduce system performance, and create unwanted artifacts. Accurately identifying and mitigating stray light sources is critical for achieving optimal optical designs. This session will explore a comprehensive workflow using …
Join us to get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low …
Simulation plays a critical role in reducing automotive design cycles, providing key insights into design changes and optimizations before a single physical prototype is built. As automotive time-to-market demands shorten and new, cutting-edge vehicle innovations emerge, simulation will play a more crucial role. Date / Time: May 28, 2025 11am EST 11am IST Venue: Online …
Wednesday, May 28 - 8:00 AM Pacific Managing traceability across multiple disconnected tools and data is a challenge that often leads to inefficiencies, missed coverage, and increased risk in safety-critical designs. In this webinar, discover how Questa Verification IQ Testplan Author seamlessly integrates with Application Lifecycle Management tools (such as Siemens Polarion and Jama Connect) …
Despite remarkable advances in cardiovascular medicine, significant challenges remain—predicting sudden cardiac death, decoding complex imaging of fibrotic substrates, tailoring catheter ablation, guiding transcatheter valve implantation, and planning intricate surgical repairs. In this session, Dr. Bessiere, cardiologist and electrophysiologist at Hospices Civils de Lyon and researcher at INSERM, joins Dr. El Houari, engineer at Ansys, to …
Payloads are crucial for space missions, especially in observation. This webinar covers selecting sensors for wildfire detection and the importance of modeling payloads in their mission environment. DATE/TIME: May 29, 2025 11 AM EDT Venue: Virtual Overview Payloads are at the center of every space mission. A satellite’s main goal for observation missions is to …
Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …
The Westin Boston Seaport District
The Westin Boston Seaport District, 425 Summer St, Boston, MA, United States
Hear From Top Industry Thought Leaders as They Showcase Challenges and Solutions Impacting the Pharmaceutical Industry The 2025 ISPE Biotechnology Conference will bring together leading pharmaceutical and biopharmaceutical manufacturers, technology providers, academic scientists, and international regulators to network, share insights, and provide an outlook on the evolving landscape and future of the development and manufacturing …
Join our community of thinkers and doers in design, manufacturing, and lifecycle management to accelerate your digital transformation. Detroit, Michigan | June 2-5, 2025 Registration opens Jan. 14, 2025. Where your digital transformation gets real. Fast. Join our community of thinkers and doers in design, manufacturing, and lifecycle management to accelerate your digital transformation. Relive …
Engineers House
Engineers House, The Promenade, Clifton Down, Bristol, United Kingdom
Join us to see how leading companies are adopting Digital Engineering to streamline processes, modernize legacy systems, and accelerate the deployment of new technologies. This 2-day conference will highlight solutions and the achievements of Ansys' customers, showcasing what’s possible through Model-Based Engineering, Simulation and AI. Date: June 3 - 4, 2025 Venue: Engineers House, The Promenade, …
Explore how simulation engineering can transform smart manufacturing and operations, revolutionizing your product and process design while boosting performance and efficiency. DATE/TIME: June 3, 2025 11 AM EST Venue: Virtual Overview Discover the transformative power of simulation engineering in enabling smart manufacturing and operations. Uncover how this innovative approach can revolutionize product and process design, …
Explore how cutting-edge tools from Cadence are transforming RF PCB design for wireless, aerospace, and automotive innovations. This webinar showcases the seamless integration of Allegro X and the AWR Design Environment to simplify RF IP integration and streamline full PCB system design. Learn how automation and advanced electromagnetic (EM) can help you deliver accurate, reliable …
Wednesday, June 4 - 8:00 AM Pacific In today's automotive electronics, ensuring functional safety is paramount for meeting stringent industry standards. This webinar introduces Questa One Sim FX, a cutting-edge fault simulation platform designed specifically for complex automotive designs. We'll explore how this high-performance tool revolutionizes the safety verification process through intelligent fault injection at …
Explore how simulation-driven case study learning and hands-on experimentation can transform engineering education. Join our webinar for strategies to enhance engagement and skills. Register today. DATE/TIME: June 4, 2025 11 AM EDT Venue: Virtual Overview Engineering simulation is a critical tool in modern design and analysis, allowing engineers to validate and optimize complex systems before …
This webinar discusses Ansys' integrated STOP workflow, highlighting how it streamlines analysis, accelerates time-to-market, and improves optical system reliability through seamless integration and automation. DATE: June 5, 2025 Venue: Virtual Overview Ansys is a digital engineering technology partner. This webinar is part of a series that showcases how these technologies empower businesses to design, simulate, …
Featured Speakers: Vivek Rajan, Sr. Principal Engineer, Intel Amlendu Choubey, Sr. Director, Product Management, Synopsys Why You Should Attend: Rapidly emerging new workloads and disruptive architectures have highlighted the importance of advanced packaging and 3DIC technologies. The scaling of Moore’s Law has pushed the boundaries of Electronic Design Automation (EDA) over the last 40 years. The …
Join the Foundational Fridays webinar on Ansys HFSS, tailored for undergraduates. Understand the user interface, create designs, and learn about various solvers through a step-by-step example. DATE/TIME: June 6, 2025 11 AM EDT Venue: Virtual Overview In this Ansys Foundational Fridays webinar, a comprehensive guide on getting started with Ansys HFSS will be provided. It …
Santa Clara Convention Center
Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States
The PCI-SIG Developers Conference 2025 is returning to Santa Clara on June 11-12, 2025! Members of the PCI-SIG community including systems architects, designers, engineers, and engineering managers all agree this is an event you won’t want to miss. Overview The PCI-SIG Developers Conferences is a free event for our 900+ member companies that develop and bring to market …
Japan Technology Symposium Date Wednesday, June 11 Time 10:00 a.m. - 6:00 p.m. Venue Pacifico Yokohama North, 1-1-2, Minatomirai, Nishi-ku, Yokohama 220-0012, JAPAN Registration will be closed on 6/4. VoD (Video on Demand) will be available starting from 7/3. Registration will close on 7/25. Get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive …
CEA-LIST - Nano-INNOV
CEA-LIST - Nano-INNOV, 2 Boulevard Thomas Gobert, Palaiseau, France
Join us at List Tech Days 2025 and be among the first to discover the groundbreaking technologies and innovations that will revolutionize your business. With over 500 industry decision-makers in attendance, this is your opportunity to connect with top-tier experts and gain valuable insights from keynote speeches and round table discussions, explore cutting-edge tech demonstrators and see firsthand the innovations that will drive …
Rising semiconductor complexity—driven by multi-die architectures, the move towards more advanced technology nodes, and more stringent reliability targets, is dramatically increasing the volume of verification required to achieve DFT verification sign-off. Come learn how the Questa One DFT Verification solution, combined with Tessent Silicon Lifecycle Solutions delivers an evolution in user experience and performance to …
June 12, 2025 | 10:00 AM PDT 1 Hour Artificial intelligence and machine learning (AI/ML) are revolutionizing wireless communications, creating opportunities for innovation and greater efficiency in the communication landscape. However, designing and testing AI/ML algorithms for dynamic and complex wireless environments presents unique challenges. Join Keysight’s 6G expert Abhinav Mahadevan as he explores the integration …
Webinar Details The increasing complexity of chip designs that leverage 3D-IC technology, heterogeneous integration, and other manufacturing advancements, emphasizes the need for accurate modeling of electromagnetic (EM) crosstalk. EM solvers continue to play a key role in solving larger problems both in terms of layout size and number of ports. The latest release of the …
This two-part webinar will explore improving productivity and efficiency by connecting enterprise systems with your materials data ecosystem using the Python Scripting Toolkit (STK) and PyGranta APIs. Then, we'll dive into Kärcher's success story, discussing how they managed to streamline their workflows by automating data transfers from test equipment and other enterprise systems, such as …
Ansys partner Keysight Technologies will describe how digital engineering based on hardware-in-the-loop testing during the early prototyping (implementation) stages can improve confidence in space missions where robust and resilient communications and space vehicle power systems are a critical requirement. Explore how design validation and verification strategies during the implementation phase of these complex systems can …
Featured Speakers: Rick Furtner, Processor IP Applications Engineering, Synopsys Why You Should Attend: Join us for an insightful webinar where we delve into the latest advancements in Synopsys ARC Processor IP, designed to meet the stringent demands of real-time processing systems across industries. Gain valuable knowledge on how these innovations can optimize your designs for …
Featured Speakers: Rick Furtner, Processor IP Applications Engineering, Synopsys Why You Should Attend: Join us for an insightful webinar where we delve into the latest advancements in Synopsys ARC Processor IP, designed to meet the stringent demands of real-time processing systems across industries. Gain valuable knowledge on how these innovations can optimize your designs for …
Les Fermes de Marie
Les Fermes de Marie, 163 Chem. des Épis, Megève, France
Focus Multicore and Multiprocessor SoCs (MPSoCs) started a new computing era, but brought a twofold challenge: building HW easy to use by SW designers and building SW that fully exploits HW capabilities. The main domains addressed at MPSoC Forum are related to adapting HW and SW for better cost, performances and energy efficiency of next …
Moscone Center
747 Howard Street, San Francisco, CA, United States
Welcome to IMS2025 It is our great pleasure to welcome you to San Francisco, California, for the 2025 IEEE Microwave Theory and Technology Society (MTT-S) International Microwave Symposium (IMS2025), taking place on 15-20 June 2025 in the City by the Bay. Co-located with the IEEE Radio Frequency Integrated Circuits Symposium (RFIC) and the Automatic Radio …
Request a meeting in chalet 214 at Paris Air Show 2025 to learn why aerospace and defense organizations are partnering with Ansys to realize the full potential of digital engineering. Access is limited to guests with scheduled meetings. You are also invited to stop by Ansys and our channel partner DynaS+ booth in Hall 4 …
Renasant Convention Center
Renasant Convention Center, 255 N Main St, Memphis, TN, United States
Turbo Expo 2025: AI & Turbomachinery Make your plans today to join 2,500-plus turbomachinery and propulsion engineering leaders from industry, academia, and government at Turbo Expo in Memphis, June 16-20, 2025. Attend Turbo Expo to: Find your next R&D partner or employer: Meet R&D leaders from top companies, universities, and government labs at dedicated networking events, …
Parc des Expositions de Paris Le Bourget
Parc des Expositions de Paris Le Bourget, Paris-Le Bourget Airport, Le Bourget, France
For over a century, the International Paris Air Show at Le Bourget has been the prime meeting place for the global aerospace industry. Each new edition promises to be a fascinating epic. From intrepid pioneers to cutting-edge technologies, this show is where innovation takes flight, dreams soar, and the future is shaped. Join us for …
ExCeL London
Royal Victoria Dock, 1 Western Gateway, London, United Kingdom
Last year, we celebrated the sector "coming of age" and reaching maturity and scale. This year, the conference will focus on the urgent actions needed to reach UK and global offshore wind deployment goals for 2030. Our programme "Mission: Possible", will highlight the urgency and ambition of reaching our clean energy missions over the next few …
CEA-Leti’s flagship event Beyond algorithms, what will it take for AI to realize its full potential for businesses and society? Advanced semiconductors! Join global semiconductor industry leaders at LID World Summit 2025 for inspiring keynotes, insight-filled conferences, and exciting demos of what’s next in CEA-Leti’s lab-to-fab pipeline. You’ll come away with concrete answers to your …
Sheraton Hsinchu Hotel
Sheraton Hsinchu Hotel, No. 265號, E Section 1, Guangming 6th Rd, Zhubei City, Hsinchu County, Taiwan
Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …
Adelaide Convention Centre
Adelaide Convention Centre, North Terrace, Adelaide, SA, Australia
Sponsored by BMT, Babcock, Defence SA, ANSYS, and SH Defence, University of Adelaide, ASC and Supported by the RINA Australian Division, Royal Institution of Naval Architects is once again hosting the highly popular Warship International Conference, with the 2024 instalment to be held on 18-19 June 2024 in Adelaide, Australia. The increasing complex warship design …
This webinar will teach explicit dynamics essentials, focusing on how Ansys LS-DYNA can be used to simulate and analyze dynamic events such as crashes, impacts, and high-speed motion. Attendees will gain hands-on experience with simulation tools and techniques that form the foundation of dynamic analysis in engineering. We'll explore how integrating Ansys LS-DYNA into an …
This webinar introduces Questa One Sim CX, an innovative coverage-driven simulation solution that revolutionizes SystemVerilog UVM verification workflows. Traditional coverage closure methods, relying on constrained-random stimulus generation and iterative manual adjustments, often prove time-consuming and resource-intensive. Questa One Sim CX addresses these challenges by automatically inferring relationships between functional coverage bins and randomized stimulus variables, …
DATE: Wednesday, June 18, 2025 TIME: 8:00am PDT | 11:00am EDT | 3:00pm GMT | 8:30pm IST Experience the future of Engineering BOM Management with OrCAD X. Our innovative Live BOM feature revolutionizes your design and supply chain processes, empowering your projects with unmatched visibility, optimization, and control. Join our webinar to learn how OrCAD X Live …
About This Webinar Are you ready to disrupt decades of outdated processes and lead a smarter, more sustainable future in semiconductor manufacturing? While the digital twin has long been a cornerstone of chip design, its power has yet to be fully harnessed on the fab floor. Meanwhile, manufacturers experience increased pressure from rising costs, slow …
This webinar demonstrates the end-to-end technology used in developing an electric powertrain for automotive applications using a model-based systems engineering (MBSE) framework. DATE: June 19, 2025 Venue: Virtual Overview As automotive electrification accelerates, developing efficient and reliable electric powertrains requires engineering teams to work across and manage complex multi-domain interactions. Model-Based Systems Engineering (MBSE) offers …
Join our webinar to learn how cybersecurity systems protect data, ease cloud computing concerns, and boost confidence in cloud-native solutions with insights from leading providers like AWS. DATE/TIME: June 19 , 2025 10AM EDT Venue: Virtual Overview Many essential tools in our professional and personal lives now utilize Software as a Service (SaaS). But can …
Webinar Details IR signoff for advanced SoCs and 3D-ICs is a major challenge due to extremely large and complex power networks that can exceed 100 billion nodes. Designers are faced with very long runtimes and very large compute resource requirements amounting to thousands of CPUs and 100TB+ memory to run a full-chip flat. In this …
The International Symposium on Computer Architecture (ISCA) is the premier forum for new ideas and experimental results in computer architecture. In 2025, the 52nd edition of ISCA will be held in Tokyo, Japan, at Waseda University during June 21 – 25, 2025. The program promises to be truly exciting, featuring not only forward-looking and novel technical paper presentations, but …
Duke University
Duke University, Durham, NC, United States
This year marks the 83rd anniversary of the DRC—the longest-running device research meeting in the world. The high-caliber technical sessions are highlighted by plenary talks and invited talks by international research pioneers and leaders behind modern electronic technology. About DRC 2025 For eight decades, the Device Research Conference (DRC) has brought together leading scientists, researchers and …
Moscone West
Moscone West, San Francisco, CA, United States
About DAC DAC is recognized as the global event for chips to systems. DAC offers outstanding training, education, exhibits and superb networking opportunities for designers, researchers, tool developers and vendors. The conference is sponsored by the Association for Computing Machinery (ACM) and the Institute of Electrical and Electronics Engineers (IEEE) and is supported by ACM's …
International Convention Center Jeju (ICC Jeju)
International Convention Center Jeju (ICC Jeju), 224 Jungmungwangwang-ro, Seogwipo-si, Jeju-do, Korea, Republic of
Overview The AVS 25th International Conference on Atomic Layer Deposition (ALD 2025) featuring the 12th International Atomic Layer Etching Workshop (ALE 2025) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe …
Hayes Mansion
Hayes Mansion, 200 Edenvale Ave, San Jose, CA, United States
The New Era of Materials Innovation The Strategic Materials Conference—SMC offers valuable content and unprecedented networking opportunities for semiconductor industry professionals who share common strategic objectives on materials innovation, management, and business success. KEYNOTES—EMD Electronics, Microsoft, NVIDIA, and TSMC EXECUTIVE PANEL— Impact of US Policy on the Semiconductor Industry Market Geopolitical, and Economic Trends Materials in Next …
Santa Clara Convention Center
Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States
Discover the Future of Sensors and Electronics at North America’s Largest Electronics Event for Design Engineers Be at the forefront of innovation where sensing, processing, and connectivity unite! Join the sensors and electronics community from June 24-26 at Sensors Converge, the ONLY event that dives deep into the most impactful design engineering trends. From sparking innovation and advancing process design …
Your visit to the World of Quantum - a quantum leap for your success As the world's largest trade fair for quantum technology, the World of Quantum offers the perfect overview of the industry. Why a visit to the trade fair is also worthwhile for you, especially in the international year of quantum science and …
This workshop provides a foundational introduction to digital logic, tailored for beginners and professionals who want to understand the principles of digital design. The emphasis of this course is on: How many bits make a byte Understanding flip flops Introducing binary and binary arithmetic Combinational and sequential logic Fundamental digital design techniques Intro to logic …
Moscone West
Moscone West, San Francisco, CA, United States
“Can AI Cut Costs in Electronic Design & Verification While Accelerating Time-To-Market?” Industry leaders will discuss the transformative role of AI in semiconductor design and verification. As AI rapidly evolves, its potential to reduce costs, shorten time-to-market and address impending talent shortages is becoming increasingly evident—but what are the real-world opportunities and challenges? This panel …
Moscone West
Moscone West, San Francisco, CA, United States
June 24, 2025 12:00 PM -1:00 PM Moscone West | San Francisco, CA Calibre: Supercharge your chip integration efforts Siemens is excited to host an exclusive event for our customers at the Design Automation Conference Join us at DAC for lunch and learn how our new products can supercharge your chip integration efforts: - Chip …
Learn how a comprehensive testing strategy can enhance your coaxial socket design from development to production maintenance. Benefits include optimized socket design, established OQC criteria, maintenance of sockets for optimum performance and yield. DATE/TIME: June 25, 2025 Session 1: 9AM (PT-Pacific Time) Session 2: 6PM (PT-Pacific Time) Venue: Virtual Overview With the increase in …
Duke University
Duke University, Durham, NC, United States
EMC provides a unique opportunity for researchers from academia, government labs, and industry to meet and share the latest cutting-edge results across the broad range of fields under the umbrella of electronic materials. About EMC 2025 The Electronic Materials Conference (EMC) is the premier annual forum on the preparation, characterization, and applications of electronic materials. …
Hilton Dresden Hotel
Hilton Dresden Hotel, An der Frauenkirche 5 D, Dresden, Germany
Themed Heterogeneous Integration: Bolstering Europe's Resilience the 3D & Systems Summit 2025 will primarily focus on exploring strategies for enhancing Europe's semiconductor industry addressing topics such as geopolitical dynamics, market trends, as well as the latest advancements in chiplet applications and hybrid bonding techniques. The Summit will feature an exclusive exhibition area, showcasing industry leaders alongside innovative …
Join us for our 5th Annual Virtual Prototyping Day to learn how you can Shift-Left your development cycle with virtual prototypes. This popular series invites our customers and partners to speak about how they solve the challenges of highly complex SoC and multi-die designs using virtual prototypes. Presenters this year include NVIDIA, Meta, Microsoft, Raytheon, and …
Shanghai International Convention Center (SHICC)
No.2727, Riverside Avenue, Pudong, Shanghai, China
Get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low power, RF, embedded …
Overview of the design of today's complex chips with Electronic Design Automation tools. June 25, 2025 | 10:00am-11:30am PT Complex semiconductor chips power today’s cell phones, cars, computers, and more. This on-line Master Class will provide non-technical people who work in and around the chip design industry a high-level overview and understanding of how these …
Verifying Chiplet-based Systems (online) As the semiconductor industry increasingly embraces chiplet-based architectures, the complexity of system integration and verification has grown exponentially. Verifying these modular systems demands new approaches, tools, and collaboration across design and verification teams. This online edition of DVClub will focus on the challenges, strategies, and breakthroughs in verifying chiplet-based systems. Industry …
Featured Speakers: Mike Borza, Scientist and Principal Security Technologist, Synopsys Dana Neustadter, Senior Director of Product Management, Synopsys As AI systems become increasingly vital across industries, ensuring their security and integrity is more critical – and challenging – than ever. Join Synopsys in this insightful webcast to explore: Why AI security is essential The unique …
Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Are you struggling to bridge the gap between high-level algorithm design and efficient FPGA implementation? Integrating High-Level Synthesis (HLS) into your Vivado block designs can be a game changer, but many designers face challenges in streamlining their workflows and ensuring …
Hyatt Regency San Francisco Downtown SOMA
Hyatt Regency San Francisco Downtown SOMA, 50 3rd St, San Francisco, CA, United States
Tech Summit on AI, Machine Learning, Neural Networks & Cognitive Computing for Highly Autonomous Vehicles Auto.AI USA – America’s No. 1 Event on Deep Driving for Level 3+ Automated Driving. Auto.AI USA is the leading conference on artificial intelligence for SAE Level 3 to 5 autonomous vehicles. Meet top automotive industry experts and decision-makers in …
Why Attend? Attendees will gain access to cutting-edge technical content and expert presentations in: AI-Enhanced Semiconductor and IP Design Next-Generation IP Architectures and Verification Strategies Innovative Design Methodologies and Flows Emerging and Breakthrough Technologies Design for AI/ML Acceleration and Edge Computing REGISTER HERE
Samsung Semiconductor
Samsung Semiconductor, 3655 N 1st St, San Jose, CA, United States
The GSA TECH Summit brings together industry leaders and experts to explore the emerging technologies that are shaping the future of the semiconductor industry. Speakers will share their insights and thought leadership on the latest growth drivers, leading-edge technologies, and evolving market trends, while also addressing the challenges surrounding the industry. The TECH Summit serves …
Sheraton Grand Bengaluru Whitefield
Sheraton Grand Bengaluru Whitefield, PRESTIGE SHANTINIKETAN, Hoodi, Thigalarapalya, Whitefield, Bengaluru, Karnataka, India
Connecting the Synopsys User Community SNUG conferences have connected Synopsys global users for more than three decades. SNUG 2025 will once again provide a place where users and technical experts can meet, network, and share ideas about chip and system design. SNUG India 2025 will be held on July 10, 2025 at Bengaluru. REGISTER HERE
Description We’ll dive into one of the most pressing questions in the community: Are physical attacks practical against post-quantum schemes in the real world? If yes, how to harden the code or the hardware? We’ll explore: How can cryptographic algorithms and their implementations be targeted by physical attacks? Leveraging a novel attack surface and increased …
Thursday, July 10, 2025 10:00am PDT | 1:00pm EDT | 19:00 CEST As AI revolutionizes industries, the demand for high-performance, low power computing intensifies, placing unprecedented requirements on data centers. This panel will explore the transformative impact of AI processing on data center reliability, availability, and serviceability (RAS), examining factors of system predictability, scalability, data integrity and power efficiency …
Zagreb, Croatia
Zagreb, Croatia (Local Name: Hrvatska)
For speaker and sponsorship opportunities please contact Malcolm About the Forum Obtain the latest industry outlook from proven industry gurus Listen to visionary presentations from leading industry semiconductor organisations Debate future industry challenges and markets Engage with other forum delegates, and develop new business relationships Enjoy Future Horizons' unmatched forum structure and hospitality International Electronics Forum brochure here Why Attend? It’s vertical … …
Suwon Convention Center
Suwon Convention Center, 140 Gwanggyojungang-ro, Yeongtong-gu, Suwon-si, Gyeonggi-do, Korea, Republic of
The 26th Korea Test Conference will be held at Suwon Convention Center on Monday, July 14, 2025. The Korea Semiconductor Testing Society holds a test conference every year to share research results and technology with experts in related fields so that it can contribute to the revitalization and strengthening of the domestic semiconductor testing industry. …
Abstract As AI workloads increasingly dominate numerous cloud and edge applications, building a scalable, efficient, and future-ready AI chips is no longer optional—it's essential. This webinar explores the latest AI trends, highlighting the growing demand for intelligent processing closer to the data source and the critical role of NPUs in enabling this shift. We’ll dive …
POWERING INNOVATION THAT DRIVES HUMAN ADVANCEMENT Having the right solution at the right time can mean the difference between tabling thoughts or transforming industries. Ansys technologies put the power of innovation in your hands. Join us for a free global simulation event that is designed to inspire, equip and empower you to innovate. REGISTER HERE
The proliferation of cloud computing and artificial intelligence is driving significant performance improvements in data center processing. Unfortunately, the connections between these servers, and even the connections between the boards in these servers, is limiting performance and driving up power dissipation. Silicon photonics promises a solution to these problems. Compared to standard integrated circuit technology, …
*WORK EMAIL IS REQUIRED* As data volumes surge across cloud, AI, automotive, and edge systems, efficient lossless compression has become essential for meeting performance, latency, and bandwidth constraints. This webinar explores the trade-offs and strengths of the industry’s leading compression algorithms—GZIP, LZ4, Snappy, and Zstd—highlighting how hardware-accelerated implementations can overcome the limitations of software-based solutions …
Radisson Blu Bengaluru
Radisson Blu Bengaluru, Bangalore, India
ABOUT US International Test Conference is the world's premier venue dedicated to the electronic test of devices, boards and systems-covering the complete cycle from design verification, design-for-test, design-for-manufacturing, silicon debug, manufacturing test, system test, diagnosis, reliability and failure analysis, and back to process and design improvement. At ITC India, design, test, and yield professionals can …
This course discusses the fundamentals of plasma assisted phenomena and reactive ion etching (RIE) processes. The emphasis is on the physical and chemical processes that determine the consequences of a reactive gas plasma/surface interaction. The role of energetic ions as encountered in RIE systems is discussed in detail and the factors which influence anisotropy of …
This online workshop introduces key concepts, tools, and techniques required for design and development using the AMD Vivado™ Design Suite for FPGAs, SoCs, and adaptive SoCs. The emphasis of this course is on: Introduction to designing FPGAs with the Vivado Design Suite Creating a Vivado project with source files Introduction to the Tcl environment in Vivado and …
Join processor IP experts from AKEANA as they explore why Simultaneous Multi-Threading (SMT) is becoming essential for next-generation SoC designs across AI, automotive, datacentre, and edge applications. This session unpacks how SMT can unlock higher compute density, better throughput, and smarter resource utilisation; without the overhead of new architectures or costly licensing. Backed by a …
Learn about Simulating Gate-All-Around Devices with Victory Atomistic As semiconductor devices push beyond FinFETs toward Gate-All-Around (GAA) architectures, accurate modeling at the nanoscale becomes essential. Join us for an in-depth webinar showcasing how Victory Atomistic™, Silvaco’s advanced atomistic simulator, empowers researchers and engineers to explore and optimize GAA transistor performance with quantum-level precision. In this session, …
Wednesday, July 2, 2025 - Friday, October 31, 2025 Accelerating Inferencing Using HLS Hackathon Energy efficiency is essential for edge devices, especially those powered by batteries or harvested energy, making low-power AI and machine learning inference a real challenge. In this hackathon focused on accelerating inferencing using HLS, your mission is to build a high-efficiency …
Tokyo Big Sight
3 Chome-11-1 Ariake, Koto City, Tokyo, Japan
Welcome to SPEXA SPEXA or the Space business expo is Japan’s exhibition specialized in space business. It is poised to become the global business center hub that brings together companies and professionals in the space industry and various sectors. This space exhibition will connect users with companies and organizations specializing in space solutions such as …
Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Are FPGA booting challenges causing frustrating delays and leaving you uncertain about project timelines? Have you spent countless hours wrestling with boot image creation, only to encounter hardware dependencies or secure boot issues that stall progress? Eliminate the guesswork and …
San Diego Convention Center
San Diego, CA, United States
Join your colleagues in beautiful San Diego. Make plans to attend and learn about latest insights, and breakthroughs. New this year - a symposium on Astronomical Applications. We are excited to announce the addition of a fourth symposium sharing advances in space and ground telescopes, UV/x-ray/gamma-ray astronomy, exoplanet detection, and more. Join leading researchers and …
Von Braun Center
Von Braun Center, 700 Monroe St SW, Huntsville, AL, United States
Space and Missile Defense - Defending America at Home and Abroad The SMD Symposium is the leading educational, professional development and networking event in the space and missile defense community. The symposium is widely attended by leaders and professionals from the United States and our allies around the world. REGISTER HERE
Santa Clara Convention Center
Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States
FMS: the Future of Memory and Storage has expanded the scope of Flash Memory Summit to encompass all tiers of Memory and Storage. As a leading global independent conference and exhibition, FMS is now in its 19th year, offering enhanced support to the industry. Serving as a centralized hub for professional growth, industry connections, and customer …
Date: Aug 05, 2025 | 10:00 AM PDT Featured Speakers: Priyank Shukla, Director of Product Management, Synopsys Kent Lusted, Distinguished Architect, Synopsys Why You Should Attend: Understand Industry Readiness: Explore the challenges and opportunities in the race to 448G SerDes and assess if the industry is prepared for this leap in high-speed serial interfaces. Learn About Emerging Standards: Gain insights into the …
Featured Speakers: Priyank Shukla, Director of Product Management, Synopsys Kent Lusted, Distinguished Architect, Synopsys Why You Should Attend: Understand Industry Readiness: Explore the challenges and opportunities in the race to 448G SerDes and assess if the industry is prepared for this leap in high-speed serial interfaces. Learn About Emerging Standards: Gain insights into the latest advancements in standards shaping …
Salt Palace Convention Center
Salt Palace Convention Center, 90 S W Temple St, Salt Lake City, UT, United States
During the 39th Annual Small Satellite Conference, we will delve into the innovations, demands, and cross-market collaborations shaping the future of satellite capabilities and driving new opportunities allowing us to collectively reach new horizons. REGISTER HERE
Featured Speakers: Anders Blom, Principal Solutions Engineer, Synopsys Gabriele Penazzi, Senior Staff Engineer, Synopsys Vaida Arcisauskaite, Staff Engineer, Synopsys Join us to explore the transformative impact of atomistic simulations and GPU acceleration on materials engineering. Learn how these technologies are revolutionizing the exploration of new materials by reducing simulation turnaround times, increasing model sizes, and …
Sheraton Grand Bengaluru Whitefield
Sheraton Grand Bengaluru Whitefield, PRESTIGE SHANTINIKETAN, Hoodi, Thigalarapalya, Whitefield, Bengaluru, Karnataka, India
Where Inspiration Meets Innovation Join us on August 13 for CadenceLIVE India 2025 at the Sheraton Grand Bengaluru Whitefield Hotel & Convention Center, where Cadence technology users connect with the engineers and industry leaders who develop the solutions and the industry experts who influence market trends. Experience a day of learning, connection, and cutting-edge technology shaping …
Seattle Convention Center
705 Pike Street, Seattle, WA, United States
The 34th USENIX Security Symposium will take place on August 13–15, 2025, at the Seattle Convention Center in Seattle, WA, USA. The USENIX Security Symposium brings together researchers, practitioners, system programmers, and others interested in the latest advances in the security and privacy of computer systems and networks. The full symposium program will be available soon; view …
Architecting an SoC is a complicated step in building a successful chip. The first step is ensuring you have the critical requirements for your SoC captured so that the possible architectural options may be explored and the IP components that enable those architectures can be identified. Selecting the right IP can make or break your …
Chiplet and heterogeneous integration of packaging has been embraced as the next revolutionary innovation to meet the quest of size, cost, and performance for packaging. The technologies are seen as another disruptive technology to bring devices into a package by integrating the various Multi-chip module (MCM), 3D packaging, Through Silicon Via (TSV), and Fan-out wafer …
Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …
Are you driving design change? Do you think you have successfully overcome challenges that may affect the electronic revolution? CadenceLIVE is willing to provide a platform to share your story. Come here to show your expertise, share and provide professional skills to help engineers solve the complexity and challenges they face today. CadenceLIVE China 2025 …
Join us for a webinar that will explore the role of SEMI’s Public Policy and Advocacy (PP&A) team in driving policy developments that are relevant to the ESDA community. PP&A staff will present on SEMI’s advocacy efforts, how you can interface with our team in DC, and how we work with member companies to advance …
The Design & Verification Conference & Exhibition is the premier conference on the application of languages, tools, methodologies and standards for the design and verification of electronic systems and integrated circuits. The conference is comprised of highly technical content, focusing on the practical aspects of design and verification techniques and their application in cutting edge …
Penang has been a significant player in the semiconductor industry, supplying 20% of the semiconductor products imported by the US as of February 2023. The region is often referred to as the "Silicon Valley of the East," highlighting its crucial role in the global semiconductor value chain. SEMIEXPO aims to highlight Penang's role in the …
Welcome to the 32nd iteration of the IEEE Hot Interconnects symposium. HotI’2025 will be held virtually. 2025 Conference Theme - Interconnect Software: You can't touch it but you need it …
Designing DSP Applications with Versal AI Engines Workshop This workshop covers the AMD Versal AI Engine architecture and using the AI Engine DSP Library, system partitioning, rapid prototyping, and custom coding of AI Engine kernels. Developing AI Engine DSP designs using AMD Vitis Model Composer is also demonstrated. The emphasis of this course is on: Providing an …
Hot Chips 2025 will be held Sunday, August 24 - Tuesday, August 26, 2025 at Memorial Auditorium, Stanford, Palo Alto, CA. About Since it started in 1989, HOT CHIPS has …
Embark on a journey through semiconductor design, manufacturing, and business in this illuminating course. Explore IC design techniques, transistor evolution, and market dynamics. Delve into substrate types and industry economics, …
Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s …
Gain a comprehensive understanding of the semiconductor industry and the integrated circuit (IC) manufacturing process. This course is designed for new personnel in the field or anyone seeking a well-rounded …
Why Attend As the world of ADAS and autonomous vehicle specialists converges in San José, California, the doors will open to a sourcing ground of technologies, expertise, best-practice, regulation and innovation. The largest event of its kind in North America, the summit connects thousands of professionals seeking the safest, most effective solutions to shape the future …
Forging the Future: A New Era of Modular Warfare Don't miss this year's MOSA Industry and Government Summit & Expo, featuring key leadership from the Department of Defense and Tri-Service, Panel Discussions and presentations from experts in Open Architecture, Open Standards, and MOSA. With over 100 Exhibitor booths showcasing live demonstrations, attendees can engage directly …
IOTE Expo is the world's largest and most influential IoT trade platform, hosting a comprehensive series of IoT activities and conferences that encompass the entire ecosystem. As the autumn edition of IOTE Expo, IOTE Expo Shenzhen 2025 will take place from August 27-29 at Shenzhen World Exhibition & Convention Center. This premier event connects global …
Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Join us to explore the functionality and configurability of the AMD Zynq UltraScale+ RFSoC. With the RFSoC, configuring data converters is crucial for advanced system development, but the complexity often overwhelms developers, hindering progress. In this session, you'll discover the …
Accelerating India’s Semiconductor Revolution SEMI IESA India is committed to accelerating the growth and innovation of India's semiconductor and electronics manufacturing ecosystem. As part of the global SEMI organization, we bring together industry leaders, innovators, academia, government and other stakeholders to drive collaboration and technology advancements across the entire supply chain. Join us at SEMICON …
Empowering Europe's Semiconductor Future: Innovation, Integration & Independence Renowned for orchestrating major regional summits across the globe, ranging from the U.S, the Middle East & Asia via our division, the …
UTAC Millbrook
UTAC Millbrook, Station Lane, Bedford, United Kingdom
Our Legacy The event was established in 2008 and was formerly known as Cenex Low Carbon Vehicle Event (Cenex-LCV). It was created as a single annual gathering point for the …
Synopsys Building 1
800 North Mary Avenue, Sunnyvale, CA, United States
Attend this free one-day event to gain in-depth insights on processor IP solutions for handling a variety of modern SoC workloads, including artificial intelligence, automotive/functional safety, IoT and more. Why …
Date: Thursday, September 4, 2025 Time: 10:00 BST / 11:00 CEST / 12:00 EEST / 14:30 IST In the aerospace and defense (A&D) industry, where mission-critical RF and mmWave systems must perform …
TSMC Technology Inc.
TSMC Technology Inc., 2851 Junction Avenue #101, San Jose, CA, United States
An event for startup leaders, investors, and ecosystem partners focused on building the next generation of enduring semiconductor companies. REGISTER HERE
Google HQ
Google HQ, 1600 Amphitheatre Parkway, Mountain View, CA, United States
The Next Revolution of AI: Innovation Summit Join us for a full day of bold ideas, live demos, and conversations with the people building what’s next in AI. Free …
Speaker: Bo Chen, Application Engineer Architect 10:00am~11:00 am Virtuoso ADE MATLAB Integration and Co-Simulation with Spectre RF MATLAB Simulink 11:00am~11:15 am Q&A Description: Spectre and MATLAB/Simulink co-simulation provides the co-simulation …
About DVCON DVCon Taiwan is the premier conference for design and verification engineers, researchers, and managers in Taiwan's semiconductor and EDA industries. Now in its third year, DVCon Taiwan 2025 …
Santa Clara Convention Center
Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States
The only full-stack AI & ML infrastructure event The AI Hardware & Edge AI Summit is rebranding to the AI Infra Summit to create the first comprehensive, full-stack AI Infrastructure conference, arranged …
ExCeL London
Royal Victoria Dock, 1 Western Gateway, London, United Kingdom
Providing a Pivotal Platform for the Global Defence Industry. DSEI is a pivotal event for the global defence industry. As the flagship defence event for the UK, DSEI promotes the …
Leading with Collaboration. Innovating with the World. SEMICON Taiwan 2025 will take place from September 10–12, 2025 at the Taipei Nangang Exhibition Center! This year’s exhibition will bring together over …
Discover how Siemens’ open, modular framework enables scalable, standardized automation for battery cell production Join us for an in-depth webinar exploring the transformative potential of Siemens' Battery Automation Framework — …
DATE: Wednesday, September 10, 2025 TIME: 8:00am PDT | 11:00am EDT | 3:00pm GMT | 8:30pm IST In mission-critical sectors like aerospace, defense, and space systems, reliability is everything. Failures carry enormous …
Business Design Centre
Business Design Centre, 52 Upper Street, London, London, United Kingdom
Connecting the data center ecosystem to design, build & operate sustainable data centers for the AI age Bringing together more than 4,000 senior leaders working on Europe's largest data center …
Schaumburg, Illinois
Schaumburg, IL, United States
SAE International's COMVEC™ serves as the premier event, or perhaps, ecosystem where global leaders from the on-highway, off-highway, and defense sectors come together in a neutral setting to collaborate on …
Cadence Headquarters, San Jose, CA
2655 Seely Ave, San Jose, CA, United States
Join us for an in-person seminar to explore the future of custom design and migration with AI-powered Virtuoso Studio. Discover how the latest innovations from Cadence are transforming analog, custom, …
Functional ECO (Engineering Change Order) continues to pose a persistent challenge for ASIC designers. To address this, Easy-Logic Technology, in collaboration with SemiWiki, is launching a webinar series focused on …
Date: Tuesday, September 16, 2025 Time: 10:00 BST / 11:00 CEST / 12:00 EEST / 14:30 IST In the high-stakes world of aerospace and defense (A&D), engineers face mounting challenges in designing …
This webinar explores strategies for optimizing SMT filter designs, addressing spurious responses, parasitic behaviors, and PCB layout challenges using Cadence’s Microwave Office and Modelithics simulation models to ensure accurate and …
Bangalore International Exhibition Centre
10th Mile, Tumkur Road, Madavara Post, Dasanapura Hobli, Bengaluru, Karnataka, India
Welcome to electronica India Founded in 2000, electronica India is now together with productronica India South Asia's leading trade fair for the electronics industry. electronica India takes place in conjunction …
Accelerating Connectivity with the Versal Adaptive SOC Network on Chip Workshop This workshop introduces the AMD Versal network on chip (NoC) to users familiar with other SoC architectures. Besides providing …
Share your research and join the outstanding program for 2025 Make plans to join the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, …
Jaarbeurs Convention Centre
Jaarbeurs Convention Centre, Jaarbeursplein 6, Utrecht, Netherlands
The 28th edition of the European Microwave Week (EuMW 2025) will come to Utrecht to continue the annual series of highly successful microwave events that started back in 1998. EuMW …
Hilton San Diego Bayfront
1 Park Blvd, San Diego, CA, United States
About ITC International Test Conference, the cornerstone of TestWeek™ events, is the world’s premier conference dedicated to the electronic test of devices, boards and systems-covering the complete cycle from design …
The Red Rock Casino Resort & Spa
The Red Rock Casino Resort & Spa, 11011 W Charleston Blvd, Las Vegas, NV, United States
The North American Supply Chain Executive Summit is a premier gathering of industry leaders, supply chain executives, and experts dedicated to exploring innovative strategies, emerging trends, and best practices in …
Speaker: Soo Chuan Tang, Principal Application Engineer 10:00am~11:00am Next-Generation Spectre FX FastSPICE Simulator and Spectre X Integration with GPU 11:00am~11:15am Q&A Description: Leveraging a FastSPICE engine built from the ground …
Hilton Phoenix Tapatio Cliffs Resort
Hilton Phoenix Tapatio Cliffs Resort, 11111 N 7th St, Phoenix, AZ, United States
The Focus: The Global Product Data Interoperability Summit (GPDIS) functions as a communications hub for industry principals to foster knowledge through the exchange of ideas, solutions, and methods. It is …
The US Executive Forum is GSA’s most prestigious, invitation-only event, designed exclusively for industry leaders. This unique gathering unites influential executives, visionary thought leaders, and top subject matter experts for …
ExCeL London
Royal Victoria Dock, 1 Western Gateway, London, United Kingdom
The Premier Event for the UK Microelectronics Industry Join thousands of your peers at the premier event uniting the entire value chains across the microelectronics, semiconductor, photonics and embedded systems …
Maison MINATEC Congress Center
Maison MINATEC Congress Center, 3 parvis Louis Néel, Grenoble, France
The International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) provides an international forum for the presentation of leading-edge research and development results in the area of process and …
Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn't just an event; it's a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …
Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. When it comes to embedded software development, managing multiple tools, maintaining version control, and navigating complex workflows can feel overwhelming. The AMD Vitis™ Unified IDE simplifies the process by integrating Vitis IDE, Vitis Analyzer, and Vitis HLS into a single, …
Join industry leaders and Cadence cloud experts at this free must-attend Cloud event of the year to explore the latest cloud EDA innovations, share ideas, and grow your professional connections. All attendees will receive a giveaway and a chance to win raffle prizes. DATE: September 25, 2025 TIME: 10:00am - 1:45pm PDT LOCATION: Hyatt Regency Santa Clara, 5101 Great America …
The 51st European Conference on Optical Communication Welcome to ECOC 2025 in Copenhagen ECOC, the European Conference on Optical Communication, stands as Europe’s premier gathering for optical communications, holding a prominent position as one of the globe’s most significant events in this field. This conference unites pioneers and professionals from academia, research, and industry, fostering the …
Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …
Overview Join us for a series of expert-led webinars showcasing cutting-edge simulation and analysis tools—from RF/mmWave modeling to advanced thermal and electromagnetic solutions. While designed for a broad range of …
Lighting the Future: Advancing Silicon Photonics for the AI Connectivity Era Soitec is pleased to host a private workshop event in parallel of ECOC 2025, offering a forward-looking perspective on the expanding role of photonics in enabling next-generation AI architectures. The workshop will bring together leading voices from across the value chain such as : …
Join us at WISH, GSA WLI’s premier technical conference that promises to be an inspiring celebration of innovation and empowerment. With around 1,000 attendees, including industry luminaries, visionary entrepreneurs, and brilliant university students in STEM, this event will showcase the evolving landscape of technology. Attendees can look forward to inspiring keynotes from trailblazers who are …
it-sa Expo&Congress in Nuremberg is Europe's largest trade fair for IT security... ...and one of the most important dialogue platforms for IT security solutions. The trade fair covers the entire range of products and services in the field of cybersecurity: hardware, software, training and consulting services as well as Security as a Service. Important topics …
SEMICON West 2025 makes its debut in Phoenix, October 7–9—positioning the city as a key hub for innovation and industry growth. This milestone event gathers global leaders across the microelectronics supply chain to explore transformative technologies, develop the future workforce, and drive strategic collaboration. Now more than ever, we are STRONGER TOGETHER. Programs + Events -CEO …
We're back in the vibrant city of Barcelona, bringing together experts from across the industry From 7th-9th October 2025, we’re reuniting the AutoSens community at the Palau de Congressos in Barcelona to shape the future of ADAS and AV. With over 60 expert speakers, engaging panels, technical case studies, and exploration of 12 key themes, …
Cadence Design Systems Belgium
Cadence Design Systems Belgium, Chau. de la Hulpe 189, Bruxelles, Belgium
What does the future hold for marine CFD simulation? Join us at the CadenceCONNECT: CFD Innovations for Marine Applications seminar to find out! Historic software uses methods for solving that …
San Jose Convention Center
150 W San Carlos St, San Jose, CA, United States
The OCP Summit is the premier event uniting the most forward-thinking minds in open IT Ecosystem development. The Summit presents a unique platform for our Community from around the globe to share their insights, foster partnerships and showcase cutting-edge advancements in open hardware and software. *Join us in San Jose October 13-16 for the 2025 OCP …
ABOUT PAINE Physical inspection of electronics has grown significantly over the past decade and is becoming a major focus for the chip designers, original equipment manufacturers, and system developers. The complex long life of the electronic devices coupled with their diverse applications is making them increasingly vulnerable to various forms of threats and inspection. Large …