Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
  • Home
  • Wiki
  • Forum
  • EDA
    • AMIQ EDA
    • Aniah
    • Breker Verification Systems
    • Bronco AI
    • Cadence
    • ClockEdge
    • ChipAgents AI
    • Defacto Technologies
    • Easy-Logic
    • Empyrean
    • Keysight EDA
    • LUBIS EDA
    • Moores Lab (AI)
    • PDF Solutions
    • Perforce
    • Mach42
    • Rise Design Automation
    • Siemens EDA
    • Silvaco
    • Synopsys
    • S2C EDA
    • Undo
    • yieldHUB
  • IP
    • Agile Analog
    • Akeana
    • Alphacore
    • Analog Bits
    • Andes Technology
    • Arteris
    • CAST
    • Certus Semiconductor
    • Ceva
    • Keysom
    • Mixel
    • Quadric
    • SiFive
    • Semidynamics
    • Silicon Creations
    • sureCore
    • Synopsys
    • Weebit Nano
  • Services
    • Accellera
    • Aion Silicon
    • Alchip
    • Axiomise
    • IPnest
    • NetApp
    • Samtec
    • Semiconductor Advisors
    • Semiconductor Intelligence
    • Silicon Catalyst
    • TechInsights
    • Tuple Technologies
  • Foundries
    • Intel Foundry
    • Soitec
    • TSMC
  • Analytics
    • PDF Solutions
    • proteanTecs
    • yieldHUB
  • Security
    • Caspia Technologies
    • Cycuity
    • PQShield
    • Secure-IC
  • Books
  • Job Board
  • Podcast
  • Videos
  • LATEST NEWS:
  • CEO Interviews
  • EDA
  • IP
  • Chiplet
  • TSMC
  • Intel Foundry
  • RISC-V
  • Arm
  • AI
  • Analytics
  • Automotive
  • 3D IC
  • Security
  • Events
Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
Guests have limited access.
Join our community today!
You are currently viewing SemiWiki as a guest which gives you limited access to the site. To view blog comments and experience other SemiWiki features you must be a registered member. Registration is fast, simple, and absolutely free so please, join our community today!
106 events found.

Events Search and Views Navigation

Event Views Navigation

  • List
  • Month
  • Day
Today
  • November 2025

  • Mon 3
    Virtual Training AdvancedPackaging (Asia) September 2025 800x800 Shopify Semiconductor Ecosystem Overview July v1.jpg
    November 3, 2025 @ 8:30 AM - 5:00 PM

    Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training

    Strengthen your knowledge and skills by learning about new packaging technologies in Fan-in, Fan-out WLP, Embedded packaging technology, System on Chip (SOC), System in Package (SiP), 3D IC, WLP, TSV, etc. Packaging knowledge is a must for professionals in the semiconductor industry. The first part of this course dives deep into advanced packaging. Part 2 …

    Continue reading "Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training"

  • Tue 4
    Screenshot 2025 10 22 032256
    November 4, 2025 - November 5, 2025

    PIC Summit Europe 2025

    Scaling Together in a Dynamic World The photonic chip industry is reaching new heights - but scaling production, applications, and investments requires a united effort. As demand surges for high-speed, energy-efficient solutions, the question isn’t if photonic chips will revolutionise industries, but how fast we can make it happen. At PIC Summit Europe 2025, we …

    Continue reading "PIC Summit Europe 2025"

  • Tue 4
    logoFull
    November 4, 2025 - November 6, 2025

    Embedded World North America 2025

    Connect to the embedded community With its 20 years of history and experience in Europe, embedded world is the most professional and largest exhibition in its field, and has accumulated substantial industry and customer data and media resources. It gathers the leading enterprises of the global embedded industry, with its application range covering automotive electronics, …

    Continue reading "Embedded World North America 2025"

  • Tue 4
    1759132416 2c664ecb7afb35b8
    November 4, 2025 @ 10:00 AM - 11:00 AM

    Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools

    November 4, 2025 | 10:00 AM PST This webinar will present advanced simulation tools and techniques for the design of GaN power amplifiers with increased assurance of stable operation that goes beyond simple k-factor analysis. The methods will be demonstrated using Qorvo GaN technology and related non-linear models that have been modified to facilitate advanced …

    Continue reading "Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools"

  • Wed 5
    images
    November 5, 2025 - November 7, 2025

    Brooklyn 6G Summit 2025

    What is the Brooklyn 6G Summit The Brooklyn 6G Summit (B6GS) is a premier event for the global communications industry, bringing together leading voices from technology, business, academia and regulation to shape the future of wireless. Jointly organized by Nokia and the NYU WIRELESS research center at the NYU Tandon School of Engineering, the Summit …

    Continue reading "Brooklyn 6G Summit 2025"

  • Wed 5
    Screenshot 2025 10 17 021248
    November 5, 2025 @ 8:00 AM - 8:30 AM

    Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus

    Details Imaging radar has rapidly evolved into a critical technology for autonomous systems, with patent activity accelerating significantly over the past decade. From 2015 to 2024, global imaging radar patent publications increased more than tenfold, fueled by the rise of autonomous driving, 4D radar integration, AI-based perception, and sensor fusion. This surge has created an …

    Continue reading "Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus"

  • Wed 5
    Screenshot 2025 10 29 071423
    November 5, 2025 @ 8:00 AM - 9:00 AM

    Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs

    High-Level Synthesis (HLS) is design flow in which design intent is described at a higher level of abstraction such as SystemC/C++/Matlab/etc. HLS tools are expected to synthesize this code to RTL which can be input to the traditional RTL downstream flow (RTL/GDS). Formal check tools are difficult to be analyzed on generated RTL (as the …

    Continue reading "Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs"

  • Wed 5
    November 5, 2025 @ 9:00 AM - 2:00 PM

    Open Lab Day: Power Without Compromise

    Power testing is more complex than ever. From subtle low-power signals to multi-kilowatt loads, bulky setups and limited software often slow you down and take up valuable lab space. At Open Lab Day: Power Without Compromise, you’ll experience what’s next in power testing: faster validation, greater accuracy, and more capability in less space. Keysight’s newest power …

    Continue reading "Open Lab Day: Power Without Compromise"

  • Wed 5
    1759427613 7a1e7d60a0e237c6
    November 5, 2025 @ 10:00 AM - 11:00 AM

    Webinar: Insights on Spectrum for 6G

    About this event Join Roger Nichols, 6G Program Manager, for an insightful discussion on the 6G spectrum. He will cover the current status of 6G technologies, standards, and policies for the next generation of wireless, including developments from 2024 after the World Radio Conference. Who should attend this event? This webinar is for 6G researchers …

    Continue reading "Webinar: Insights on Spectrum for 6G"

  • Wed 5
    Screenshot 2025 10 22 035247
    November 5, 2025 @ 10:00 AM - 12:00 PM

    Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class

    Join us for this engaging Master Class with Benyamin Davaji, PhD, Assistant Professor of Electrical and Computer Engineering at Northeastern University and Peter Doerschuk, Professor of Electrical and Computer Engineering and Biomedical Engineering at Cornell University, as they explore the role of digital twin models in advancing semiconductor manufacturing. The masterclass will highlight how data-guided …

    Continue reading "Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class"

  • Wed 5
    Screenshot 2025 09 29 234430
    November 5, 2025 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Sensor Market in 2026

    November 5, 2025 - 11:00 AM EST    November 6, 2025 – 10:00 AM JST/KST Discover the 5 Critical Sensor Market Trends Reshaping Semiconductors in 2026 From 8K smartphones to AI at the edge—explore the next generation of image sensor innovation. The image sensor industry is shifting from traditional pixel scaling to functionality-driven differentiation, unlocking new …

    Continue reading "Webinar: 5 Expectations for the Sensor Market in 2026"

  • Wed 5
    November 5, 2025 @ 11:00 AM - 12:00 PM

    Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop

    Join our webinar to learn how Ansys optiSLang and Thermal Desktop tackle thermal and fluid challenges, optimize design, and enhance product performance with a vapor chamber use case. Date & Time: November 5, 2025 11AM EDT Venue: Virtual Overview In this webinar, discover how Ansys optiSLang and Ansys Thermal Desktop empower engineers to tackle complex …

    Continue reading "Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop"

  • Wed 5
    November 5, 2025 @ 11:00 AM - 12:00 PM

    Webinar: Accelerating the Manufacturing Process Using Simulation

    Join our webinar to explore how Ansys LS-DYNA enhances manufacturing simulations in sheet metal forming, welding, forging, and more, improving accuracy and workflow efficiency. Date/Time: November 5, 2025 11 AM IST Venue: Virtual Overview The demand for manufacturing simulations has been steadily increasing across industries, driven by the need for enhanced product quality, reliability, and cost …

    Continue reading "Webinar: Accelerating the Manufacturing Process Using Simulation"

  • Wed 5
    https cdn.evbuc.com images 1146954313 75197236605 1 original
    November 5, 2025 @ 5:00 PM - 7:30 PM

    Silicon Catalyst 2025 Semi Industry Forum

    On-the-Road to a $1 Trillion Industry Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. About this event Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. Demand is accelerating, driven by AI, automotive, data centers, and IoT - …

    Continue reading "Silicon Catalyst 2025 Semi Industry Forum"

  • Thu 6
    Screenshot 2025 07 18 105742
    November 6, 2025 - November 7, 2025

    Electronic Packaging Days 2025

    On 6 and 7 November, Fraunhofer IZM is inviting customers and partners from industry to Berlin for its “Electronic Packaging Days”. The event is designed to facilitate direct exchange between IZM researchers and companies. The aim is to present current research work and technological developments in the field of microelectronic packaging and heterogeneous system integration …

    Continue reading "Electronic Packaging Days 2025"

  • Thu 6
    image002[88]
    November 6, 2025 @ 5:30 PM - 9:30 PM

    Archetype: Conversations with Silicon Edition

    Archetype, a global tech communications consultancy, is co-hosting, with GV (fka Google Ventures) an exec, media and comms networking event in San Francisco on Thursday, November 6, from 5:30 to 9:30 p.m. at GV’s office in the Ferry Building. We’re bringing together well-respected observers and founders in the semiconductor and computing industries for an evening …

    Continue reading "Archetype: Conversations with Silicon Edition"

  • Thu 6
    Screenshot 2025 10 22 032457
    November 6, 2025 @ 6:30 PM - 9:00 PM

    Phil Kaufman Award Ceremony and Banquet

    The Phil Kaufman Award honors individuals who have had a demonstrable impact on the field of electronic system design through technology innovations, education/mentoring, or business or industry leadership. The award was established as a tribute to Phil Kaufman, the late industry pioneer who turned innovative technologies into commercial businesses that have benefited electronic designers. REGISTER HERE

  • Fri 7
    SXVN2025 EventTile 1 3.jpg
    November 7, 2025 - November 8, 2025

    SEMIEXPO Vietnam 2025

    As the global semiconductor landscape continues to evolve, Vietnam is positioning itself for a promising future in the semiconductor industry. SEMIEXPO Vietnam will be a pivotal event, exploring opportunities for businesses across the semiconductor value chain, from assembly and testing, to fabless/design. This premier event will highlight how local players can elevate Vietnam’s position in …

    Continue reading "SEMIEXPO Vietnam 2025"

  • Tue 11
    Screenshot 2025 06 10 150029
    November 11, 2025

    SemIsrael Expo 2025

    Connecting the Dots: Empowering the Semiconductor Community SemIsrael Expo 2025 is the premier professional semiconductor event in Israel. The event brings together hundreds of Israeli semiconductor professionals from all fields and aspects of the semiconductor industry. The Expo will host some 750 semiconductor professionals from all the Israeli semiconductor community; local fabless & startups, local …

    Continue reading "SemIsrael Expo 2025"

  • Tue 11
    Screenshot 2025 10 15 180018
    November 11, 2025 @ 9:00 AM - 10:30 AM

    Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights

    Traditional RTL low power design techniques such as sequential clock gating are widely deployed across the industry. Yet, even after multiple RTL revisions, residual power inefficiencies often remain undetected until silicon, resulting in missed optimization opportunities. This seminar presents a refined approach to conventional methodologies: a reporting and opportunity identification layer that sits atop clock …

    Continue reading "Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights"

  • Wed 12
    Screenshot 2025 10 29 071729
    November 12, 2025 @ 8:00 AM - 9:00 AM

    Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim

    Join us for this essential webinar where we'll explore how  Questa One Sim empowers VHDL designers to dramatically enhance their debugging productivity. We'll move beyond basic simulation viewing and dive into advanced features designed to pinpoint issues faster, understand design behavior more intuitively, and streamline your entire VHDL RTL debug workflow. This webinar is Part 1 of …

    Continue reading "Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim"

  • Wed 12
    1746045069 103c98f2493e62fc
    November 12, 2025 @ 10:00 AM - 11:00 AM

    Webinar: AI/ML Algorithm Design and Testing Toward 6G

    AI and machine learning (AI/ML) are reshaping wireless communications, promising faster, more efficient, and more intelligent networks. But bringing these algorithms into real-world environments isn’t simple — validation and testing remain major hurdles. In this webinar, industry expert Abhinav Mahadevan shares how to bridge that gap. You’ll learn: • How AI/ML can unlock smarter signal …

    Continue reading "Webinar: AI/ML Algorithm Design and Testing Toward 6G"

  • Wed 12
    Screenshot 2025 09 29 234617
    November 12, 2025 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Compute Market in 2026

    November 12, 2025 - 11:00 AM EST    November 13, 2025 – 10:00 AM JST/KST Discover the 5 Critical Compute Market Trends Reshaping Semiconductors in 2026 Datacenter accelerators, advanced nodes, and geopolitical tensions—what’s next for compute semiconductors. The compute semiconductor market is entering a transformative period. While datacenter accelerators drive rapid growth, traditional PC and mobile …

    Continue reading "Webinar: 5 Expectations for the Compute Market in 2026"

  • Thu 13
    1759871032 edc349cc38a32997
    November 13, 2025 @ 8:30 AM - 3:30 PM

    Aerospace and Defense Symposium 2025 — El Segundo

    Join Chris Johnston, Keysight’s Director of Radar and EW, alongside other Keysight experts, at this year’s Aerospace and Defense Symposium in El Segundo. Gain practical insights, see live demonstrations, and take part in engaging discussions designed to help you stay ahead in our rapidly evolving industry. You’ll walk away ready to accelerate mission-critical product development across …

    Continue reading "Aerospace and Defense Symposium 2025 — El Segundo"

  • Thu 13
    November 13, 2025 @ 11:00 AM - 12:00 PM

    Webinar: Accelerating Product Development with Computational Materials Engineering

    Discover how companies are leveraging ICME to enhance outcomes in consumer goods, fluids manufacturing, optics, and polymer development. Learn how your R&D team can accelerate the process from materials design to product design and manufacturing. DATE/TIME: November 13, 2025 11 AM EST / 5 PM CET / 9:30 PM IST Venue: Virtual Overview Ansys and …

    Continue reading "Webinar: Accelerating Product Development with Computational Materials Engineering"

  • Sun 16
    ISTFA 2025 Theme Hero
    November 16, 2025 - November 20, 2025

    ISTFA 2025

    Plan today to attend and participate at ISTFA 2025! Join us for the 51st International Symposium for Testing and Failure Analysis (ISTFA) is set to take place in Pasadena, California, from November 16-20, 2025. As the premier event for the microelectronics failure analysis community, ISTFA brings together leading experts, industry professionals, and researchers to share …

    Continue reading "ISTFA 2025"

  • Sun 16
    Screenshot 2025 07 18 110118
    November 16, 2025 - November 21, 2025

    SC25

    The International Conference for High Performance Computing, Networking, Storage, and Analysis HPC Ignites. St. Louis is the place to be this fall as the high performance computing community convenes for an exhilarating week of sessions, speakers, and networking at its finest. SC is an unparalleled mix of thousands of scientists, engineers, researchers, educators, programmers, and …

    Continue reading "SC25"

  • Mon 17
    Screenshot 2025 06 10 165805
    November 17, 2025 - November 21, 2025

    Hardwear.io Security Trainings and Conference Netherlands 2025

    The Netherlands has been the home for Hardwear.io since 2015. We are very excited to host the industry from automotive, healthcare, semiconductor, IoT, industrial control systems and Govt/Defences Institutes to join us for Hardwear.io NL scheduled on 17th Nov to 21st Nov 2025 at Amsterdam Marriott Hotel Learn, share, build, collaborate with 100+ companies attending …

    Continue reading "Hardwear.io Security Trainings and Conference Netherlands 2025"

  • Tue 18
    Screenshot 2025 08 20 145418
    November 18, 2025

    2025 TSMC Open Innovation Platform Ecosystem Forum – Taiwan

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Taiwan"

  • Tue 18
    Screenshot 2025 08 21 025131
    November 18, 2025 - November 20, 2025

    MASTER CLASS: Component-based transfer path analysis and virtual prototyping

    The Component-based TPA and Virtual Prototyping Master Class is a 3-day live training event that brings together the NVH community at the NVH facility in Leuven, Belgium. This immersive program features theoretical lectures and insights from industry leaders, supported by live demonstrations, interactive sessions, and more than 9 hours of hands-on workshops in small groups. The master …

    Continue reading "MASTER CLASS: Component-based transfer path analysis and virtual prototyping"

  • Tue 18
    Screenshot 2025 07 18 110413
    November 18, 2025 - November 21, 2025

    SEMICON Europa 2025

    SEMICON Europa 2025 is co-located with productronica and will take place in November 18-21, 2025 in Munich, Germany. This year’s theme Global Collaborations for European Economic Resilience expresses that global collaborations are not just beneficial for Europe's resilience, they are essential. In today's interconnected world, Europe cannot afford to operate in isolation. By working closely with other nations, …

    Continue reading "SEMICON Europa 2025"

  • Tue 18
    Vijay Viswanathan
    November 18, 2025 @ 9:00 AM - 10:00 AM

    Webinar: Power Supply ICs for efficient computing & networking

    The importance of second-stage optimization in power delivery for data centers and high-performance computing cannot be overstated. With the potential to increase energy efficiency from an average of 85% to approximately 90%, Infineon is at the forefront of developing innovative solutions to meet the rapidly growing demands of advanced computing. In this session we will …

    Continue reading "Webinar: Power Supply ICs for efficient computing & networking"

  • Tue 18
    multistream webinar banner square
    November 18, 2025 @ 9:00 AM - 10:00 AM

    Webinar: How PCIe Multistream Architecture is enabling AI Connectivity at 64 GT/s and 128 GT/s

    Featured Speakers: Diwakar Kumaraswamy, Sr. Staff Technical Product Manager, Synopsys AI and HPC workloads push fabric speeds to deliver higher parallelism and utilization at extreme data rates. To support these higher rates, the controller architecture needs to be completely redefined resulting in the new PCIe controller Multistream architecture where multiple TLP streams to be serialized …

    Continue reading "Webinar: How PCIe Multistream Architecture is enabling AI Connectivity at 64 GT/s and 128 GT/s"

  • Tue 18
    November 18, 2025 @ 9:00 AM - 3:30 PM

    Advanced Measurements Seminar – Chandler

    Join Suren Singh, Application Engineer for Emerging Technologies, and fellow Keysight experts for a hands-on seminar that will help you do what you couldn’t before in RF measurement. Whether you're looking to advance your expertise or stay ahead of emerging technologies, this hands-on seminar will equip you with the practical tools and skills you need …

    Continue reading "Advanced Measurements Seminar – Chandler"

  • Wed 19
    Screenshot 2025 06 10 170130
    November 19, 2025 - November 20, 2025

    Sensing (R)evolution: Sustaining Europe’s Leadership

    Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe's leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in Sensing (R)evolution: …

    Continue reading "Sensing (R)evolution: Sustaining Europe’s Leadership"

  • Wed 19
    Screenshot 2025 08 27 212625
    November 19, 2025 - November 20, 2025

    MEMS & Imaging Sensors Summit 2025

    Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe’s leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in to Sensing …

    Continue reading "MEMS & Imaging Sensors Summit 2025"

  • Wed 19
    1750872606 61b607ba1c5cbc49
    November 19, 2025 @ 10:00 AM - 11:00 AM

    Webinar: Advancing NTN: Challenges and Opportunities in 6G

    NTNs extend coverage everywhere; 6G delivers the tools to optimize, scale, and seamlessly integrate them. Join our expert roundtable for a high-impact discussion on today’s NTN landscape. Discover how 6G will accelerate their evolution. Learn about the engineering challenges and opportunities shaping the future. You’ll walk away with insights into real-world deployments, emerging use cases, …

    Continue reading "Webinar: Advancing NTN: Challenges and Opportunities in 6G"

  • Wed 19
    Screenshot 2025 06 12 134145
    November 19, 2025 @ 10:00 AM - 4:00 PM

    From Theory to Practice: Applying Timing Constraints Workshop

    From Theory to Practice: Applying Timing Constraints Workshop Do you struggle to identify which constraints are needed for a design or how to properly input them? This workshop will cover how to use features in Vivado, clock domain crossing strategies, and how to get the most out of static timing analysis for Versal devices. This …

    Continue reading "From Theory to Practice: Applying Timing Constraints Workshop"

  • Wed 19
    Screenshot 2025 09 29 234831
    November 19, 2025 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Connectivity Market in 2026

    Discover the 5 Critical Connectivity Market Trends Reshaping Semiconductors in 2026 5G, ambient computing, and RF innovation—what’s next for connectivity semiconductors. The connectivity semiconductor industry is evolving rapidly as 5G matures, geopolitical shifts influence supply chains, and ambient/always-on computing paradigms drive next-generation RF architectures. From smartphones to IoT, wearables, and satellite networks, the connectivity landscape …

    Continue reading "Webinar: 5 Expectations for the Connectivity Market in 2026"

  • Thu 20
    Screenshot 2025 10 22 041230
    November 20, 2025 - November 21, 2025

    2025 ICCAD

    About ICCAD-Expo In the development of China's integrated circuit design industry, the China Integrated Circuit Design Industry Exhibition (ICCAD-Expo) has consistently played a vital role in promoting industrial clustering, connecting industry resources, and identifying industry trends. Since its inception in 1994, ICCAD-Expo  has been successfully held for 30 sessions in Shenzhen, Hangzhou, Chengdu, Wuhan, Shanghai, Zhuhai, Dalian, …

    Continue reading "2025 ICCAD"

  • Thu 20
    Paul Yeaman
    November 20, 2025 @ 9:00 AM - 10:00 AM

    Webinar: Powering the heart of AI – 48 V to core optimization

    This webinar provides an in-depth discussion about the challenges posed by AI's increasing power requirements to voltage regulation, more specifically physical limitations such as power distribution losses, thermal and cooling limitations, and power density issues. It then explores innovative technologies designed to address these challenges, for example chip-embedded modules, thermally efficient inductors, and novel power …

    Continue reading "Webinar: Powering the heart of AI – 48 V to core optimization"

  • Thu 20
    1761086745 b35ee35dd6d899de
    November 20, 2025 @ 10:00 AM - 10:30 AM

    Webinar: Wireless Coexistence Testing for Medical Devices

    Wireless connectivity is the backbone of modern medical technology, but it is also one of its greatest vulnerabilities. In connected hospitals and operating rooms, dozens of devices share a limited spectrum. Even minor interference can disrupt data, delay critical responses, and threaten patient safety. Regulators now require proof that devices coexist reliably in complex RF …

    Continue reading "Webinar: Wireless Coexistence Testing for Medical Devices"

  • Thu 20
    1756482267 fb4ab9beb955a1e7
    November 20, 2025 @ 10:00 AM - 11:00 AM

    Webinar: Sensing the 6G Future: Insight from the Wireless Channel

    6G is transforming wireless networks from a channel for communication into a powerful tool for sensing the world around us. Beyond connecting people and devices, 6G opens the door to applications such as gesture recognition, object detection, and location awareness — capabilities that demand new approaches to modeling, simulation, and testing. In this webinar, our …

    Continue reading "Webinar: Sensing the 6G Future: Insight from the Wireless Channel"

  • Thu 20
    Screenshot 2025 09 29 235112
    November 20, 2025 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Automotive Market in 2026

    November 20, 2025 - 11:00 AM EST    November 21, 2025 – 10:00 AM JST/KST Discover the 5 Critical Automotive Market Trends Reshaping Semiconductors in 2026 AI, vehicle architectures, and trade impacts—what’s next for automotive semiconductors. The automotive semiconductor industry is navigating a complex landscape of trade tensions, evolving architectures, and AI deployment. Supply chain uncertainties …

    Continue reading "Webinar: 5 Expectations for the Automotive Market in 2026"

  • Thu 20
    sia logo
    November 20, 2025 @ 5:00 PM - 8:30 PM

    2025 SIA Awards Dinner

    Date: Nov. 20, 2025 Time: SIA Reception at 5:00 pm Dinner, Awards Presentations, & Keynote Remarks at 6:30 pm After-Dinner Reception at 8:30 pm Location: Signia by Hilton San Jose 170 S Market St San Jose, Calif.95113 PRIORITY SPONSORSHIP PERIOD NOW OPEN! The 2025 SIA Awards Dinner Priority Sponsorship Period is now open! Only Gold …

    Continue reading "2025 SIA Awards Dinner"

  • Tue 25
    Screenshot 2025 08 20 145418
    November 25, 2025

    2025 TSMC Open Innovation Platform Ecosystem Forum – Amsterdam

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Amsterdam"

  • Tue 25
    6aa32750 74d5 44ba aae6 84fdf47dd3ba
    November 25, 2025 @ 11:00 AM - 12:00 PM

    Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques

    Description Clock domain crossings (CDCs) are a critical aspect of FPGA and embedded system design, and handling them correctly is essential for reliable operation. In this one-hour webinar, we’ll break down CDC fundamentals, explore best practices for managing single-bit and bus CDCs, and demonstrate how to leverage Xilinx Parameterized Macros (XPM) for seamless synchronization. Join …

    Continue reading "Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques"

  • December 2025

  • Mon 1
    Screenshot 2025 08 21 020159
    December 1, 2025 @ 10:00 AM - 11:30 AM

    CadenceTECHTALK: iPegasus Verification System for Virtuoso Studio

    Speaker: Hong-Cheang Quek, AE Director 10:00am~11:00am iPegasus Verification System for Virtuoso Studio 11:00am~11:15am Q&A Description: Today's complex SoC designs significantly increase layout creation and verification time, especially at advanced nodes. To meet overall demand for faster design cycle turnaround time, bridge a demand gap, and improve productivity between custom implementation and physical verification tools, Cadence …

    Continue reading "CadenceTECHTALK: iPegasus Verification System for Virtuoso Studio"

  • Tue 2
    Screenshot 2025 09 29 235249
    December 2, 2025 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Advanced Packaging Market in 2026

    December 2, 2025 - 11:00 AM EST    December 3, 2025 – 10:00 AM JST/KST Discover the 5 Critical Advanced Packaging Market Trends Reshaping Semiconductors in 2026 AI, 3D stacking, and next-gen substrates—what’s next for advanced packaging. The advanced packaging industry is at the forefront of semiconductor innovation, driven by the explosive growth of AI and …

    Continue reading "Webinar: 5 Expectations for the Advanced Packaging Market in 2026"

  • Wed 3
    Screenshot 2025 10 22 032736
    December 3, 2025 - December 4, 2025

    PDF Solutions 2025 Users Conference & Analyst Day

    The semiconductor industry is experiencing accelerated innovation; demand has never been higher, complexity never greater, and the opportunities never more exciting. But realizing this potential requires partnerships, shared secure scalable solutions, and a collective commitment to pushing boundaries.  In this two-day conference, you'll: Hear from visionaries at Qualcomm, Intel, GlobalFoundries, STMicroelectronics, and SAP and many others.  We will demo breakthrough technologies in AI-driven …

    Continue reading "PDF Solutions 2025 Users Conference & Analyst Day"

  • Thu 4
    Screenshot 2025 08 20 145418
    December 4, 2025

    2025 TSMC Open Innovation Platform Ecosystem Forum – China

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – China"

  • Thu 4
    1759187598 a4c16b4f7f6def1e
    December 4, 2025 @ 10:00 AM - 11:00 AM

    Webinar: Simplify 1.6T Ethernet Testing: A New Way to Validate Interconnects

    As 1.6T Ethernet moves from concept to deployment, validating interconnects is more critical — and complex — than ever. But the challenge demands more than just speed — it’s proving performance in real-world conditions. Traditional test methods are slow and require manual intervention — adding complexity, longer development cycles, and gaps in validation. In this …

    Continue reading "Webinar: Simplify 1.6T Ethernet Testing: A New Way to Validate Interconnects"

  • Thu 4
    Screenshot 2025 08 27 212923
    December 4, 2025 @ 4:00 PM - 7:30 PM

    2025 GSA Awards Dinner Celebration

    GSA recognizes semiconductor companies that have demonstrated excellence through their success, vision, strategy and future opportunities in the industry at its annual Awards Dinner Celebration. It is an honor to be selected as a nominee and an even more distinguished achievement to win a GSA Award. REGISTER HERE

  • Sat 6
    Screenshot 2025 06 10 170521
    December 6, 2025 - December 10, 2025

    IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting

    100 YEARS of FETs: SHAPING the FUTURE of DEVICE INNOVATIONS Inside IEEE IEDM 2025 Focus Sessions Focus Session #1 - Efficient AI Solutions: Architecture, Circuit, and 3D Integration Innovations for Memory and Logic Focus Session #2 - Beyond Silicon: The Invisible Revolution in Thin-Film Transistors Focus Session #3 - From P-bits to Qubits: Classical, Quantum-Inspired …

    Continue reading "IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting"

  • Mon 8
    Screenshot 2025 08 21 020159
    December 8, 2025 @ 10:00 AM - 11:30 AM

    CadenceTECHTALK: Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure

    Speaker: Kee Tat Ong, Principal Application Engineer 10:00am~11:00am Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure 11:00am~11:15am Q&A Description: With more designs migrating to advanced process nodes, chips are getting smaller, but design complexity is increasing in order to deliver better power, performance, or area. These optimizations are restricted by the time window to …

    Continue reading "CadenceTECHTALK: Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure"

  • Tue 9
    Screenshot 2025 09 29 235533
    December 9, 2025 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the PC/Laptop/Tablet Market in 2026

    December 9, 2025 - 11:00 AM EST    December 10, 2025 – 10:00 AM JST/KST Discover the 5 Critical PC/Laptop/Tablet Market Trends Reshaping Semiconductors in 2026 AI, tariffs, and processor innovations—what’s next for client computing markets. The client computing market is entering a pivotal transition as post-COVID refresh cycles conclude. With a cooling tablet segment and …

    Continue reading "Webinar: 5 Expectations for the PC/Laptop/Tablet Market in 2026"

  • Tue 9
    blueprint viewer 1280x720
    December 9, 2025 @ 1:00 PM - 2:00 PM

    Webinar: Automate PCB documentation with BluePrint-PCB

    Streamlining fabrication and assembly documentation December 9, 2025 at 06:00 AM Pacific Standard Time December 9, 2025 at 01:00 PM Pacific Standard Time BluePrint-PCB is a documentation automation tool that streamlines PCB fabrication, assembly, and inspection by generating intelligent, customizable electronic drawings directly from design data. BluePrint-PCB by Siemens integrates with PCB design workflows to …

    Continue reading "Webinar: Automate PCB documentation with BluePrint-PCB"

  • Wed 10
    Screenshot 2025 10 22 041905
    December 10, 2025 - December 11, 2025

    AI Everywhere 2025

    Description In the data center, the shift to inference is in full swing. Infrastructure for inference is being built out at scale around the world in what Jensen Huang calls “AI factories,” optimized for the best possible tokens per dollar performance on a small but growing number of open-source LLMs. Sovereign AI efforts are also …

    Continue reading "AI Everywhere 2025"

  • Wed 10
    Alphawave 600x388
    December 10, 2025 @ 9:00 AM - 10:00 AM

    Webinar: Trained Eyes on 64G UCIe: Scale Chiplet Integration for AI

    Join us to hear firsthand from the innovators at Siemens and Alphawave Semi and learn proven practices to enhance your UCIe-enabled AI system performance! The semiconductor industry is shifting rapidly from monolithic SoC design to chiplet-based systems. At the same time, AI compute workloads have pushed into petaflop-class speeds, demanding higher throughput from die-to-die (D2D) …

    Continue reading "Webinar: Trained Eyes on 64G UCIe: Scale Chiplet Integration for AI"

  • Tue 16
    Screenshot 2025 09 29 235800
    December 16, 2025 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Consumer Electronics Market in 2026

    December 16, 2025 - 11:00 AM EST    December 17, 2025 – 10:00 AM JST/KST Discover the 5 Critical Consumer Electronics Market Trends Reshaping Semiconductors in 2026 AI, tariffs, smart home, and display innovations—what’s next for consumer electronics. The consumer electronics market is poised for transformation in 2026, driven by technology innovation, geopolitical dynamics, and rising …

    Continue reading "Webinar: 5 Expectations for the Consumer Electronics Market in 2026"

  • Wed 17
    1080x600 en 1.jpg
    December 17, 2025 - December 19, 2025

    SEMICON Japan 2025

    SEMICON Japan is the premier event that brings together the semiconductor manufacturing supply chain for the latest insights, trends and innovations as the industry powers digital transformation. SEMICON Japan 2025 will highlight Smart applications powered by semiconductor technology such as automotive and Internet of Things (IoT). REGISTER HERE

  • Wed 17
    1762267057 7296b78543070298
    December 17, 2025 @ 10:00 AM - 11:00 AM

    Webinar: From Silos to Systems, From Data to Insight (AM Session)

    December 17, 2025 | 10:00 AM PST Join us to discover how Keysight Design Data Management (SOS) Enterprise Collaboration, as the backbone for modern engineering enterprises, enables teams to build organizational knowledge, secure collaboration, and prepare their data for AI and agentic workflows that drive measurable competitive advantage. The biggest challenges today are managing complexity, ensuring governance, and preparing …

    Continue reading "Webinar: From Silos to Systems, From Data to Insight (AM Session)"

  • Wed 17
    Screenshot 2025 06 12 134829
    December 17, 2025 @ 10:00 AM - 4:00 PM

    Essential Debugging Techniques Workshop

    Essential Debugging Techniques Workshop This workshop is for hardware engineers, system architects, and anyone who wants to learn best practices for debugging challenging issues encountered while developing FPGAs, SoCs, PCBs, and embedded systems using the Vivado Design Suite. The features and capabilities of the Vivado Integrated Logic Analyzer are covered in lectures and demonstrations, along with …

    Continue reading "Essential Debugging Techniques Workshop"

  • January 2026

  • Mon 5
    Screenshot 2025 09 30 000004
    January 5 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Mobile Market in 2026

    January 5, 2026 - 11:00 AM EST    January 6, 2026 – 10:00 AM JST/KST Discover the 5 Critical Mobile Market Trends Reshaping Semiconductors in 2026 Mobile AI, shifting supply chains, and new form factors—what’s next for the mobile semiconductor industry. The mobile semiconductor market faces an inflection point in 2026. While trade and tariff uncertainties …

    Continue reading "Webinar: 5 Expectations for the Mobile Market in 2026"

  • Tue 6
    ces 2026 website 1500x1000 purple
    January 6 - January 9

    CES 2026

    The world’s most powerful tech event is your place to experience the innovations transforming how we live. This is where global brands get business done, meet new partners and where the industry's sharpest minds take the stage to unveil their latest releases and boldest breakthroughs. Get a real feel for the latest solutions to the …

    Continue reading "CES 2026"

  • Sun 11
    Screenshot 2025 08 27 214111
    January 11 - January 14

    ISS 2026 Industry Strategy Symposium

    Join us at the Ritz-Carlton in Half Moon Bay, CA to get the latest insights on economic trends, market drivers, geopolitics, technology, and what these will mean for the near future to help drive your business forward. The cooperative platform of ISS and its tremendous collective assets will serve to help power your strategies for …

    Continue reading "ISS 2026 Industry Strategy Symposium"

  • Tue 13
    Screenshot 2025 12 11 131707
    January 13 @ 8:00 AM - 5:00 PM

    Terascale AI, 1.6T and Beyond Seminar: Santa Clara

    About this event Next-generation AI systems are pushing electrical, optical, and packaging technologies to their limits. Join Keysight experts as they share insights on validating 224G / 448G SerDes, preparing for emerging IEEE 1.6T optical standards, advancing silicon photonics, and strengthening die-to-die interconnects for chiplet-based architectures. This is your chance to learn directly from the engineers shaping the …

    Continue reading "Terascale AI, 1.6T and Beyond Seminar: Santa Clara"

  • Tue 13
    Screenshot 2025 12 11 125606
    January 13 @ 9:00 AM - 10:00 AM

    Webinar: PQShield with Microchip’s PolarFire® SoC FPGAs: Securing the Future of Embedded Systems in the Post-Quantum Era

    As the quantum threat moves from theory to reality, attacks put all long-lifecycle designs at risk. In this early PQC era, simply implementing the new NIST algorithms isn't enough. Implementations will evolve, and new physical attacks like side-channel analysis present a major threat to the security of these complex new algorithms. The solution requires both …

    Continue reading "Webinar: PQShield with Microchip’s PolarFire® SoC FPGAs: Securing the Future of Embedded Systems in the Post-Quantum Era"

  • Wed 14
    synopsys advances in atpg 1200x1200 px
    January 14 @ 10:00 AM - 11:00 AM

    Webinar: Advances in ATPG: From Power and Timing Awareness to Intelligent Pattern Search with AI

    Date: Jan 14, 2026 | 10:00 AM PST Featured Speakers: Srikanth Venkat Raman, Product Management Director, Synopsys Khader Abdel-Hafez, Scientist, Synopsys Theo Toulas, R&D Principal Engineer, Synopsys Bruce Xue, Staff Engineer, Synopsys As System-on-Chip (SoC) designs become increasingly complex, meeting test quality and cost goals requires advances in automatic test pattern generation (ATPG). Synopsys TestMAX™ ATPG is Synopsys’ state-of-the-art …

    Continue reading "Webinar: Advances in ATPG: From Power and Timing Awareness to Intelligent Pattern Search with AI"

  • Wed 14
    Screenshot 2025 09 30 000153
    January 14 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Manufacturing Market in 2026

    Discover the 5 Critical Manufacturing Market Trends Reshaping Semiconductors in 2026 AI-driven investments, sustainability, and advanced materials—what’s next for semiconductor manufacturing. The semiconductor manufacturing industry is undergoing a transformative period as AI-driven investments accelerate, sustainability pressures mount, and foundries navigate capacity expansion amid weak consumer demand. In this live TechInsights webinar, our manufacturing experts will …

    Continue reading "Webinar: 5 Expectations for the Manufacturing Market in 2026"

  • Thu 15
    Screenshot 2025 12 11 131917
    January 15 @ 9:00 AM - 5:00 PM

    Advanced Measurements Seminar – Calgary

    About this event Start the new year off right with fresh insights and sharp skills. Join Keysight experts in Calgary for an all-day Advanced Measurements Seminar and cocktail reception. This hands-on technical event features live demonstrations with the latest RF and high-speed digital technologies. Gain practical, lab-ready insights to enhance accuracy, reduce uncertainty, and push your measurement capabilities further in 2026. Lunch and cocktails are on us. …

    Continue reading "Advanced Measurements Seminar – Calgary"

  • Thu 15
    SNPS4324872076 ParagonX Banners 400x400px
    January 15 @ 10:00 AM - 12:00 PM

    Webinar: Accelerate IC Layout Parasitic Analysis with ParagonX

    We are pleased to offer two webinar sessions for your convenience. Please choose the time that best fits your schedule: 10:00AM – 12:00PM CET (session #1 for EMEA/APAC) 10:00AM – 12:00PM PST (session #2 for NA) Featured Speakers: Kopal Kulshreshtha, Principal Product Specialist, Synopsys Rob Dohanyos, Principal Product Specialist, Synopsys Introducing ParagonX, a powerful tool for intelligent analysis, debugging, simulation, and visualization …

    Continue reading "Webinar: Accelerate IC Layout Parasitic Analysis with ParagonX"

  • Fri 16
    Screenshot 2025 12 09 130429
    January 16 @ 9:30 AM - 5:30 PM

    Webinar: ASU-Silvaco Device TCAD Workshop: From Fundamentals to Applications

    This workshop is a fast-paced, one-day program led by Prof. Dragica Vasileska and Prof. Stephen M. Goodnick. Spend the morning grounding yourself in semiconductor physics and transport, then transition in the afternoon to instructor-led, hands-on Silvaco TCAD labs (e.g., MOS Capacitors, MOSFETs, SOI Devices, FinFETs). The workshop is offered in a hybrid format. Participants have …

    Continue reading "Webinar: ASU-Silvaco Device TCAD Workshop: From Fundamentals to Applications"

  • Sat 17
    images
    January 17 - January 22

    SPIE Photonics West 2026

    Share your work, insights, and breakthroughs. The 2026 call for papers is open. SPIE Photonics West is the world’s largest optics and photonics technologies event. Present your research in biomedical optics, biophotonics, industrial lasers, optoelectronics, microfabrication, displays, quantum, and emerging vision technologies. Conferences and Courses: 17–22 January This is the place to be in January …

    Continue reading "SPIE Photonics West 2026"

  • Thu 22
    1753295854533
    January 22 - January 23

    IEEE Hybrid Bonding Symposium

    January 22-23, 2026, hosted by SEMI International, Silicon Valley, CA USA Note: HBS’26 is a hybrid event, with both in-person and virtual participation via WebEx. Download the Call for Presentations! Hybrid Bonding has emerged as the technology of choice in the semiconductor and heterogeneous integration industries for ultra-fine-pitch interconnection. With significant benefits for interconnect density and device …

    Continue reading "IEEE Hybrid Bonding Symposium"

  • Thu 22
    January 22 @ 8:30 AM - 5:00 PM

    Optical Design Engineering User Conference

    About this event Stay connected with the latest optical design product innovations across CODE V, LightTools, RSoft, ImSym, and our optical scattering measurement solutions. Get tips and tricks on design best practices from our experts, and network with industry peers and the Keysight Optical Design Engineering team. The user conference is held in parallel with …

    Continue reading "Optical Design Engineering User Conference"

  • Tue 27
    Screenshot 2025 12 16 181547
    January 27 @ 9:00 AM - 10:00 AM

    Webinar: Solving Timing closure challenges using Gencellicon (previously Excellicon)

    Timing closure is one of the most challenging aspects of ASIC design. While traditionally seen as a backend process, its resolution begins at the architectural level and extends through the implementation stages. This webinar examines the key obstacles designers encounter and demonstrates how our timing closure solutions deliver comprehensive support throughout the entire design process. …

    Continue reading "Webinar: Solving Timing closure challenges using Gencellicon (previously Excellicon)"

  • Wed 28
    background
    January 28 @ 10:00 AM - 11:00 AM

    Webinar: Why AI-Assisted Security Verification For Chip Design is So Important

    In this webinar, we will explore the growing threat that AI-fueled cyberattacks pose to chip designs and how to add expert-level security verification to your design flow to minimize those risks. We will expose some of the details of the existential risk for electronic systems with real examples. We will then describe technology that easily …

    Continue reading "Webinar: Why AI-Assisted Security Verification For Chip Design is So Important"

  • February 2026

  • Sun 15
    Screenshot 2025 08 27 215048
    February 15 - February 19

    2026 IEEE International Solid-State Circuits Conference (ISSCC)

    About ISSCC The International Solid-State Circuits Conference is the foremost global forum for presentation of advances in solid-state circuits and systems-on-a-chip. The Conference offers a unique opportunity for engineers working at the cutting edge of IC design and application to maintain technical currency, and to network with leading experts. What’s New Download the ISSCC 2026 …

    Continue reading "2026 IEEE International Solid-State Circuits Conference (ISSCC)"

  • Tue 17
    Screenshot
    February 17 - February 19

    Chiplet Summit 2026

    All the Solutions for Developing Chiplets 2025 Keynote Addresses from Industry Leaders: Alphawave Semi, Arm, Cadence Design Systems, Keysight, Open Compute Project, Synopsys, Teradyne 2025’s Main Topics Included: AI/ML Acceleration, Open Chiplet Economy, Advanced Packaging Methods, Die-to-die Interfaces, Working with Foundries signup to be a 2026 SPONSOR / Exhibitor REGISTER HERE

  • Wed 18
    WLPS 2026 Masthead
    February 18 - February 20

    Wafer-Level Packaging Symposium 2026

    Formatting Advanced Packaging for the Next Generation The evolution of Advanced Package Technology is experiencing substantial changes as system designs directly drive package performance requirements—an unprecedented development in the industry. Historically, architects constructed circuits within packaging constraints to prevent undesirable outcomes. Nevertheless, increasing transistor expenses and the demand for improved power efficiency necessitate advancing package …

    Continue reading "Wafer-Level Packaging Symposium 2026"

  • Thu 19
    Screenshot 2025 06 24 085557
    February 19 - February 20

    Semitracks Course: Defect-Based Testing

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. For example, today's application-specific ICs and microprocessors can contain upwards of 100 million transistors. Traditional testing relies on the stuck-at-fault (SAF) to model defect behavior. Unfortunately, the SAF model is a poor model for defects. Other models and strategies are required to catch …

    Continue reading "Semitracks Course: Defect-Based Testing"

  • Sun 22
    Screenshot 2025 08 27 215756
    February 22 - February 26

    SPIE Advanced Lithography + Patterning 2026

    From materials to metrology: pushing the limits of lithography Share your research, challenges, and breakthroughs at this leading semiconductor conference in San Jose Submit your abstract and connect with leading researchers advancing solutions in optical lithography, EUVL, patterning technologies, metrology, and process integration for semiconductor manufacturing and related applications. Call for papers is now open. …

    Continue reading "SPIE Advanced Lithography + Patterning 2026"

  • Mon 23
    Outlook 3vvtmdsn
    February 23 - February 25

    2026 Florida Semiconductor Summit

    FSI presents the 4th annual Florida Semiconductor Summit in 2026. Join industry leaders, innovators, and exhibitioners as we explore groundbreaking developments and the evolving future of semiconductor manufacturing in Florida. You’re invited to the 2026 Florida Semiconductor Summit! From February 23rd – 25th, 2026, the Florida Semiconductor Institute is hosting the fourth annual Florida Semiconductor Summit at …

    Continue reading "2026 Florida Semiconductor Summit"

  • Mon 23
    Screenshot 2025 06 24 085557
    February 23 - February 26

    Semitracks Course: Wafer Fab Processing

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

    Continue reading "Semitracks Course: Wafer Fab Processing"

  • Tue 24
    Screenshot 2025 08 27 220051
    February 24 - February 26

    FLEX 2026 – Technology Summit

    FLEX 2026 | TECHNOLOGY SUMMIT | FEBRUARY 24-26, 2026 THE WIGWAM ARIZONA RESORT | PHOENIX, AZ A 25th Anniversary Celebration Escape the winter and celebrate 25 years of innovation with us at The Wigwam Arizona Resort in Phoenix, AZ. FLEX—Technology Summit is a vibrant networking event designed to foster community building. Connect with like-minded professionals, share ideas, and forge …

    Continue reading "FLEX 2026 – Technology Summit"

  • March 2026

  • Mon 2
    Screenshot 2025 06 24 085557
    March 2 - March 5

    Semitracks Course: Failure and Yield Analysis

    Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

    Continue reading "Semitracks Course: Failure and Yield Analysis"

  • Mon 2
    Screenshot 2025 08 27 220509
    March 2 - March 5

    DVCON U.S. 2026

    DVCon is the premier conference on the application of languages, tools, and methodologies for the design and verification of electronic systems and integrated circuits. The focus of the conference is the usage of specialized design and verification languages such as SystemVerilog, Verilog, VHDL, PSS, SystemC and e, as well as general purpose languages such as …

    Continue reading "DVCON U.S. 2026"

  • Mon 2
    MWC26 hero Card 800x533
    March 2 - March 5

    MWC 2026

    Making way for The IQ Era Much can happen in a year within our ecosystem of innovation and connectivity. As we build on the success of MWC25 and engage with MWC26 to activate a new theme – The IQ Era – the world is already shifting to greater heights of digital awareness. In this new age of intelligence, the way to a better future is through smarter connection: human …

    Continue reading "MWC 2026"

  • Mon 9
    Screenshot 2025 06 24 085557
    March 9 - March 12

    Semitracks Course: Semiconductor Reliability and Product Qualification

    Product reliability and qualification continues to evolve with the electronics industry. New electronics applications require new approaches to reliability and qualification. In the past, reliability meant discovering, characterizing and modeling failure mechanisms, and determining their impact on the reliability of the circuit. Today, reliability can involve tradeoffs between performance and reliability; assessing the impact of …

    Continue reading "Semitracks Course: Semiconductor Reliability and Product Qualification"

  • Tue 10
    145 embedded world 2026
    March 10 - March 12

    embedded world 2026

    Global platform for the embedded community The embedded world Exhibition&Conference provides a global platform and a place to meet for the entire embedded community, including leading experts, key players and industry associations. It offers unprecedented insight into the world of embedded systems, from components and modules to operating systems, hardware and software design, M2M communication, …

    Continue reading "embedded world 2026"

  • Sun 15
    Untitled 500 x 550 px
    March 15 - March 19

    OFC 2026

    Explore the Ever-Expanding Optical Networking and Communications Industry Plenary Session Esteemed industry luminaries from Coherent, NVIDIA and Tesat-Spacecom will headline the event Tuesday, 17 March. These distinguished speakers will explore cutting-edge technologies, and provide invaluable insights into the evolving landscape of optical networking and communications. Learn more. The Exhibition The exhibition features more than 700 industry-leading companies representing the entire …

    Continue reading "OFC 2026"

  • Mon 16
    Screenshot 2025 06 24 085557
    March 16 - March 17

    Semitracks Course: EOS, ESD and How to Differentiate

    Electrical Overstress (EOS) and Electrostatic Discharge (ESD) account for most of the field failures observed in the electronics industry. Although EOS and ESD damage can at times look quite similar to each other, the source each and the solution can be quite different. Therefore, it is important to be able to distinguish between the two …

    Continue reading "Semitracks Course: EOS, ESD and How to Differentiate"

  • Sun 22
    ieee international reliability physics symposium irps cover
    March 22 - March 26

    IRPS 2026

    About IRPS For over 60 years, IRPS has been the premiere conference for engineers and scientists to present new and original work in the area of microelectronics reliability. Drawing participants from the United States, Europe, Asia, and all other parts of the world, IRPS seeks to understand the reliability of semiconductor devices, integrated circuits, and …

    Continue reading "IRPS 2026"

  • Tue 31
    Screenshot 2025 08 27 224522
    March 31 - April 2

    MSEC 2026

    Hyatt Regency Boston / Cambridge Hyatt Regency Boston / Cambridge, 575 Memorial Dr, Cambridge, MA, United States

    SENSORIZATION: ENABLING A NEW INTELLIGENCE The MEMS and Sensors Executive Conference 2024 is designed for senior executives across the MEMS and sensors supply chain and adjacent industries.  Industry economic, business updates will be covered from different aspects of the ecosystem, together with forward-looking strategic and technology trends. The opportunities and challenges brought about by key …

    Continue reading "MSEC 2026"

  • April 2026

  • Sun 12
    Screenshot 2025 08 27 225241
    April 12 - April 16

    SPIE Photonics Europe 2026

    Make plans to share your work with other experts in April 2026 Present your research at the only cross-disciplinary event highlighting compelling optics and photonics technologies—from digital optics to quantum technologies to optical imaging, sensing, and metrology. Additional topics include THz photonics, 3D printed optics, photonic glasses, photosensitive materials, and biophotonics. Call for papers is …

    Continue reading "SPIE Photonics Europe 2026"

  • Mon 20
    Screenshot 2025 08 27 225522
    April 20 - April 22

    DATE 2026

    Design, Automation and Test in Europe Conference | The European Event for Electronic System Design & Test Call for Papers The DATE conference is the main European event bringing together designers and design automation users, researchers and vendors, as well as specialists in hardware and software design, test, and manufacturing of electronic circuits and systems. …

    Continue reading "DATE 2026"

  • May 2026

  • Mon 11
    TemporaryLogo 2026 01
    May 11 - May 13

    Embedded Vision Summit 2026

    The premier conference for innovators incorporating computer vision and AI in products The 2025 Summit has now concluded. Join us May 11-13, 2026! Attend an Immersive Experience At the premier conference for innovators incorporating computer vision and AI into products Join 1,400+ product and application developers, business leaders, investors and customers—all focused on perceptual AI …

    Continue reading "Embedded Vision Summit 2026"

  • Mon 11
    Screenshot 2025 08 27 225853
    May 11 - May 14

    ASMC 2026

    ASMC brings together manufacturers, equipment and materials suppliers, and academia to solve manufacturing challenges with innovative strategies and methodologies. View the 2025 Agenda Advancing Semiconductor Manufacturing Excellence ASMC is the leading international technical conference for discussing solutions that improve the collective manufacturing expertise of the semiconductor industry. Solving the challenges presented by semiconductor manufacturing is …

    Continue reading "ASMC 2026"

  • Wed 13
    Screenshot 2025 12 11 130932
    May 13 @ 8:00 AM - 5:00 PM

    DVCon China 2026

    Hello everyone! Welcome to the 2026 DvCon China Conference! As the chair of this conference, l am truly honored to be here with all of you. lt's exciting to gather together and discuss the latest trends and cutting-edge technologies in the field of design verification. In recent years, we've seen tremendous growth in China's chip development …

    Continue reading "DVCon China 2026"

  • Mon 18
    Screenshot 2025 08 27 230026
    May 18 - May 20

    VOICE 2026

    VOICE is a developer conference, created by test engineers for test engineers. Each year, the VOICE Developer Conference unites semiconductor test professionals representing the world's leading integrated device manufacturers (IDMs), foundries, fabless semiconductor companies and outsourced semiconductor assembly and test (OSAT) providers to exchange information about the latest technology advancements, express new ideas, share best …

    Continue reading "VOICE 2026"

  • June 2026

  • Tue 2
    taipei taiwan computex 2026
    June 2 - June 5

    COMPUTEX Taipei 2026

    Main Themes COMPUTEX is a leading global exhibition focused on AIoT and startups. The expo will continue with the position of “AI Next”, featuring the latest tech trends: AI & Robotics, Next-Gen Tech, and Future Mobility. Recognized for its adaptability to industry changes. It has established itself as a premier platform for showcasing technological innovations. …

    Continue reading "COMPUTEX Taipei 2026"

  • Sun 7
    header
    June 7 - June 12

    IMS2026

    Welcome to IMS2026 The IEEE International MTT Symposia (IMS) (www.ims-ieee.org) is the world’s premier RF/microwave technical conference and industry exhibition. Attendees will appreciate that IMS2026 has been refreshed with the technical content reorganized, and new branding, new website, and new mobile app launched. IMS2026 kicks off with the IEEE MTT-S RF Integrated Circuits (RFIC) Symposium, …

    Continue reading "IMS2026"

  • Sun 14
    Screenshot 2025 08 27 230953
    June 14 - June 18

    2026 IEEE/JSAP Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)

    New concepts and breakthroughs in VLSI processes and devices including Memory, Logic, I/O, and I/F (RF/Analog/MS, Imager, MEMS, etc.) - Advanced gate stack and interconnect in VLSI processes and devices - Advanced lithography and fine patternig technologies for high density VLSI - New functional devices beyond CMOS with a path for VLSI implantation - Packing …

    Continue reading "2026 IEEE/JSAP Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)"

  • Tue 16
    Screenshot 2025 08 27 231304
    June 16 - June 17

    Automobil-Elektronik Kongress 2026

    Forum am Schlosspark Stuttgarter Str. 33, Ludwigsburg, Germany

    We are excited to announce the 30th Automobil-Elektronik Kongress, set to take place on June 16 and 17, 2026 at the Forum am Schlosspark in Ludwigsburg, Germany. This prestigious technical conference will bring together industry experts, researchers, and innovators to discuss the latest advancements in automotive electronics. Join us to stay at the forefront of innovation …

    Continue reading "Automobil-Elektronik Kongress 2026"

  • Mon 22
    Screenshot 2025 12 11 133841
    June 22 - June 26

    ISC 2026

    Hamburg, Germany Hamburg, Germany

    CONFERENCE & EXHIBITION HPC, AI, Quantum: Powering Innovation and Sustainability ISC 2026 connects scientists, engineers, and technology leaders to explore the future of high performance computing. We will examine today’s breakthroughs in artificial intelligence, high performance computing and quantum technologies, as well as what lies ahead. Additionally, we are committed to sustainability by promoting energy-efficient …

    Continue reading "ISC 2026"

  • Previous Events
  • Today
  • Next Events
  • Google Calendar
  • iCalendar
  • Outlook 365
  • Outlook Live
  • Export .ics file
  • Export Outlook .ics file

Copyright © 2026 SemiWiki.com. All rights reserved.
Legal / Sponsor Disclosure - About SemiWiki