hip webinar automating integration workflow 800x100 (1)
WP_Term Object
(
    [term_id] => 158
    [name] => Foundries
    [slug] => semiconductor-manufacturers
    [term_group] => 0
    [term_taxonomy_id] => 158
    [taxonomy] => category
    [description] => 
    [parent] => 0
    [count] => 1235
    [filter] => raw
    [cat_ID] => 158
    [category_count] => 1235
    [category_description] => 
    [cat_name] => Foundries
    [category_nicename] => semiconductor-manufacturers
    [category_parent] => 0
    [is_post] => 
)

FD-SOI, the technology shaping the future of automotive radars

FD-SOI, the technology shaping the future of automotive radars
by admin on 10-16-2023 at 6:00 am

White paper Figure 3

By Philippe Flatresse, Bich-Yen Nguyen, Rainer Lutz of SOITEC

    I.          Introduction

Automotive radar is a key enabler for the development of advanced driver assistance systems (ADAS) and autonomous vehicles. The use of radar allows vehicles to sense their environment and make decisions based on that information, enhancing… Read More


TSMC N3E is ready for designs, thanks to IP from Synopsys

TSMC N3E is ready for designs, thanks to IP from Synopsys
by Daniel Payne on 10-12-2023 at 10:00 am

synopsys ucie phy ip min

TSMC has been offering foundry services since 1987, and their first 3nm node was called N3 and debuted in 2022; now they have an enhanced 3nm node dubbed N3E that has launched.  Every new node then requires IP that is carefully designed, characterized and validated in silicon to ensure that the IP specifications are being met and … Read More


Synopsys – TSMC Collaboration Unleashes Innovation for TSMC OIP Ecosystem

Synopsys – TSMC Collaboration Unleashes Innovation for TSMC OIP Ecosystem
by Kalar Rajendiran on 10-10-2023 at 10:00 am

L.C. OIP 2023

As the focal point of the TSMC OIP ecosystem, TSMC has been driving important initiatives over the last few years to bring multi-die systems to the mainstream. As the world is moving quickly toward Generative AI technology and AI-based systems, multi-die and chiplet-based implementations are becoming essential. TSMC recently… Read More


The True Power of the TSMC Ecosystem!

The True Power of the TSMC Ecosystem!
by Daniel Nenni on 10-02-2023 at 6:00 am

logo chart 092623

The 15th TSMC Open Innovation Platform® (OIP) was held last week. In preparation we did a podcast with one of the original members of the TSMC OIP team Dan Kochpatcharin. Dan and I talked about the early days before OIP when we did reference flows together. Around 20 years ago I did a career pivot and focused on Strategic Foundry Relationships.… Read More


TSMC’s First US Fab

TSMC’s First US Fab
by Daniel Nenni on 09-25-2023 at 6:00 am

WaferTech TSMC

TSMC originally brought the pure-play foundry business to the United States in 1996 through a joint venture with customers Altera, Analog Devices, ISSI, and private investors (no government money). Altera is now part of Intel but ADI is still a top TSMC customer and enthusiastic supporter. I have seen the ADI CEO Vincent Roche … Read More


Intel Ushers a New Era of Advanced Packaging with Glass Substrates

Intel Ushers a New Era of Advanced Packaging with Glass Substrates
by Mike Gianfagna on 09-18-2023 at 10:00 am

Intel Ushers a New Era of Advanced Packaging with Glass Substrates


Intel recently issued a press announcement that has significant implications for the future of semiconductors.  The release announces Intel’s new glass substrate technology. The headline states: Glass substrates help overcome limitations of organic materials by enabling an order of magnitude improvement in design rulesRead More


The TSMC OIP Backstory

The TSMC OIP Backstory
by Daniel Nenni on 09-18-2023 at 6:00 am

TSMC OIP 2023

This is the 15th anniversary of the TSMC Open Innovation Platform (OIP). The OIP Ecosystem Forum will kick off on September 27th in Santa Clara, California and continue around the world for the next two months in person and on-line in North America, Europe, China, Japan, Taiwan, and Israel. These are THE most attended semiconductor… Read More


Soitec is Engineering the Future of the Semiconductor Industry

Soitec is Engineering the Future of the Semiconductor Industry
by Mike Gianfagna on 09-12-2023 at 10:00 am

Soitec is Engineering the Future of the Semiconductor Industry

The crystalline structure of silicon delivers the incredible capabilities that have fueled the exponential increases defined by Moore’s Law. It turns out that silicon in its purest form will fall short at times – power handling and speed are examples. In these cases, adding additional materials to the silicon can enhance its … Read More


The TSMC Pivot that Changed the Semiconductor Industry!

The TSMC Pivot that Changed the Semiconductor Industry!
by Daniel Nenni on 09-11-2023 at 6:00 am

Don Brooks Interview 2000

During my research I found an interview with Don Brooks from February 2000. It was very interesting and confirmed some of the things I knew about Don and brought up a few things I did not know. It’s an hour but it is a video of Don telling his story and is definitely worth a look. One of the things that was not mentioned however is the… Read More


Former TSMC President Don Brooks

Former TSMC President Don Brooks
by Daniel Nenni on 09-04-2023 at 6:00 am

Don Brooks

Don Brooks is well known to many long time semiconductor insiders, like myself, but most SemiWiki readers have probably never heard of him. Don is a semiconductor legend and here is his story. This will be in two parts since he had a big impact on the semiconductor industry and TSMC. From 1991 to 1997 Don served as President of TSMC and… Read More