Wiki Tag: GAAFET
imec Wiki
imec (Interuniversity Microelectronics Centre) is a leading nonprofit research and innovation hub based in Leuven, Belgium, focused on semiconductor scaling, advanced materials, nanoelectronics, and digital technologies. Founded in 1984, imec serves as a global R&D partner for semiconductor companies, foundries,… Read More
TSMC A16 Process Technology Wiki
Also Known As: TSMC 1.6 nm, Angstrom-class node
Node Class: Leading-edge logic (1.6 nm)
Transistor Type: Nanosheet GAAFET (Gate-All-Around Field-Effect Transistor)
Backside Power Variant: Integrates Super Power Rail (SPR) backside power delivery
Launch Schedule: Risk production in 2026, volume production in H2 2026–2027… Read More
Intel 3 vs. Intel 18A Wiki
Overview
Feature | Intel 3 | Intel 18A |
---|---|---|
Node Class | Enhanced 7nm (refinement of Intel 4) | 1.8nm-class full-node leap |
Transistor Type | FinFET | RibbonFET (GAAFET) |
Power Delivery | Front-side power only | Backside Power Delivery (PowerVia) |
EUV Use | Partial (select layers) | Extensive EUV, reduced multi-patterning |
PPA Target | Modest vs. Intel |
GAAFET (Gate-All-Around FET) Wiki
Full Name: Gate-All-Around Field-Effect Transistor
Also Known As: Gate-All-Around FET, Nanosheet FET, Nanowire FET
Category: Advanced 3D CMOS Transistor
Predecessor: FinFET (Tri-Gate Transistor)
Successors/Subtypes: Nanosheet FET, MBCFET (Samsung), RibbonFET (Intel), Forksheet FET
First Commercial Use: Samsung… Read More
Samsung 3nm Process Technology Wiki
Official Names:
-
Samsung 3GAE (3nm Gate-All-Around Early)
-
Samsung 3GAP (3nm Gate-All-Around Plus)
Technology Type: Gate-All-Around (GAA) FET – MBCFET™
Developer: Samsung Electronics (Samsung Foundry)
Announced: 2021 (3GAE), 2022 (3GAP)
Mass Production Start: -
3GAE: June 2022
-
3GAP: Expected 2024–2025
Predecessor: 4nm
TSMC N2 Process Technology Wiki
Also Known As: TSMC 2nm node
Developer: Taiwan Semiconductor Manufacturing Company (TSMC)
Node Class: Advanced logic semiconductor node
Technology Generation: 2 nanometer (2nm)
Status (as of 2025): Risk production in progress; volume production expected in late 2025 or 2026
Overview
The TSMC N2 process node marks a major … Read More
Can RISC-V Help Recast the DPU Race?