The Unknown in Your Design Can be Dangerous

The Unknown in Your Design Can be Dangerous
by Graham Bell on 07-30-2012 at 10:00 am

The SystemVerilog standard defines an X as an “unknown” value which is used to represent when simulation cannot definitely resolve a signal to a “1”, a “0”, or a “Z”. Synthesis, on the other hand, defines an X as a “don’t care”, enabling greater flexibility and optimization. Unfortunately, Verilog RTL simulation semantics often… Read More