Synopsys Enhances PPA with Backside Routing

Synopsys Enhances PPA with Backside Routing
by Mike Gianfagna on 03-19-2024 at 6:00 am

Comparison of frontside and backside PDNs (Source IMEC)

Complexity and density conspire to make power delivery very difficult for advanced SoCs. Signal integrity, power integrity, reliability and heat can seem to present unsolvable problems when it comes to efficient power management. There is just not enough room to get it all done with the routing layers available on the top side… Read More


CadenceTECHTALK: Static and Dynamic IR Drop Analysis for Thermal Integrity of High-Performance PCB Designs

CadenceTECHTALK: Static and Dynamic IR Drop Analysis for Thermal Integrity of High-Performance PCB Designs
by Admin on 06-01-2022 at 3:00 pm

 

As boards become smaller and faster, the environment for thermal issues becomes increasingly challenging. The thermal management of significant resistive losses in PCB and package structures is critical, especially because these resistive losses are also temperature-dependent, making dynamic and static IR drop

Read More

ANSYS 2020 R1– Thermal Integrity Update

ANSYS 2020 R1– Thermal Integrity Update
by Admin on 01-07-2020 at 2:14 pm

February 25, 2020

11:00 AM – 12:00 PM (EST)

Venue: Online

Join us to learn about the new capabilities available for thermal analysis within ANSYS Electronics Desktop in 2020 R1. The latest release includes powerful new features for the design of electronics cooling strategies and electrothermal analysis. New features… Read More