5G and Aircraft Safety: Simulation is Key to Ensuring Passenger Safety – Part 4

5G and Aircraft Safety: Simulation is Key to Ensuring Passenger Safety – Part 4
by Shawn Carpenter on 03-17-2022 at 10:00 am

Fig 11 KingCountyAirport Scenario STK Annotated

In our previous blog installments, we examined the ingredient for modeling the potential for interference between a 5G C-band base station and an aviation radar altimeter. Using candidate emissions models for the transmitter, wideband susceptibility models for a candidate radar altimeter receiver and antenna and propagation… Read More


5G and Aircraft Safety: Simulation is Key to Ensuring Passenger Safety – Part 2

5G and Aircraft Safety: Simulation is Key to Ensuring Passenger Safety – Part 2
by Shawn Carpenter on 03-15-2022 at 10:00 am

Fig 4 Elements of Interference Analysis

In our first blog installment, we outlined the interference concerns surrounding the coexistence of the new C-band 5G telecom service spanning the band from 3.7 to 3.98 GHz with aviation radar altimeters. Radar altimeters are essential components for safety during landing and takeoff, as they offer precise measurements from… Read More


Webinar Boosting AEDT simulation with Ansys Cloud

Webinar Boosting AEDT simulation with Ansys Cloud
by Daniel Nenni on 04-08-2021 at 5:53 pm

About this Webinar

The recently enhanced Ansys Cloud submission tool within Ansys Electronics Desktop (AEDT) provides designers the ability to tailor resource configurations for each step in the electromagnetic simulation process (initial meshing, adaptive meshing and frequency sweep), thereby providing the best balance

Read More