Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/threads/spie-2016-key-details-on-euv.7640/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021770
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

SPIE 2016 key details on EUV

Fred Chen

Moderator
I list some papers I read from SPIE 2016, and their implications:

977610 EUV Patterning Successes and Frontiers (IBM/Globalfoundries/Samsung/TEL): Can't believe I missed this one! Cost of EUV single pass is about the same as three passes of 193i. So 2-mask SAQP (which is already published such as here: http://nanocad.ee.ucla.edu/pub/Main/SnippetTutorial/SAQP_YB.pdf) is more cost-effective in terms of litho tool throughput, but not 4- or 5-mask. Additionally, secondary electron blur is now affecting the EUV resolution limit necessary for N7 as SAQP replacement. The choice of underlying layer is constrained by this as well as resist pattern collapse.

97761Q Extension of practical k1 limit in EUV lithography (SK Hynix): basically 2x nm contact half-pitch would have trouble with EUV due to the stochastic nature of resist exposure.

97761R Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs (GlobalFoundries and ASML Brion): 7nm (32 nm pitch) burdened by stochastic effects. 10 nm SRAF features added to masks (more write time, cost) to improve the imaging performance. SMO leads to quasar-like dipole illumination with lower pupil fill ratio, as would be expected from including intermediate pitches mainly in one direction. Even so, SMO inherently always favors one dense pitch over others, so effectively N7 will have design restrictions.

97761U EUV implementation of assist features in contact patterns (Mentor Graphics and IBM): 7nm node contacts need SRAFs (sub-resolution assist features) to preserve depth of focus >100 nm across pitch.

977616 EUV and optical lithographic pattern shift at the 5nm node (GlobalFoundries): At 5 nm, critical layers' (24 nm and 32 nm pitches) overlay window compromised by pattern shift from EUV, especially with high NA (anamorphic tools).

97761N Current development status of HSFET (High-NA Small Field Exposure Tool) in EIDEC (EIDEC/Toshiba/Zygo): This is a tool that aims to replicate the >0.5 NA tool before the availability of the anamorphic tool from ASML. Essentially, the high NA forces a central obscuration in the pupil which limits diffraction of larger pitches, forcing some more layout design restrictions.

97761W EUV patterned templates with grapho-epitaxy DSA at the N5/N7 logic nodes (IMEC and ASML Brion): At both N5 and N7, EUV stands to benefit from DSA first by increasing the required exposure CD and also by delivering some via multiplication (pitch multiplication). Otherwise EUV exposure dose would have to be increased to offset the shot noise effects.

97760A EUV lithography performance for manufacturing: status and outlook (ASML): So far ASML has not shipped any "final version" EUV tools fit for manufacturing. The NXE 3400 is expected to replace the NXE 3350 in 2017 and is not anamorphic (same NA), so EUV dipole multipatterning will be required. here: https://staticwww.asml.com/doclib/press/presentations/asml_20160226_SPIE_2016_EUV_overview.pdf

978102 Toward The 5nm Technology: Layout Optimization and Performance Benchmark for Logic/SRAMs Using Lateral and Vertical GAA FETs (IMEC, Vrije Universiteit)
: EUV double patterning required for N5 for multiple layers.

All in all, EUV is as far away as ever, and multiple patterning is inevitable.
 
Last edited:
A slightly more optimistic view on EUV progress was presented by Britt Turkot from Intel, at the International Workshop on EUV late last year:

http://www.euvlitho.com/2015/S1.pdf

The tagline for his talk was "not if, but when". The slides provide a year-over-year comparison on the status of key technical areas, from machine uptime to overlay to mask blank quality. (Britt was also the keynote speaker at the SPIE Advanced Lithography conference in Silicon Valley in February.)

That said, Fred is correct in the assessment that there remain many challenges, from amplified resist sensitivity (to reduce the exposure issues Fred mentioned) to concerns related to machine cleaning (and especially, mask pellicle technology).

Still, there continues to be significant progress, and apparently, sufficient funding sources to continue advanced development, in contrast to say, the 450mm initiative.

-chipguy
 
A slightly more optimistic view on EUV progress was presented by Britt Turkot from Intel, at the International Workshop on EUV late last year:

http://www.euvlitho.com/2015/S1.pdf

The tagline for his talk was "not if, but when". The slides provide a year-over-year comparison on the status of key technical areas, from machine uptime to overlay to mask blank quality. (Britt was also the keynote speaker at the SPIE Advanced Lithography conference in Silicon Valley in February.)

That said, Fred is correct in the assessment that there remain many challenges, from amplified resist sensitivity (to reduce the exposure issues Fred mentioned) to concerns related to machine cleaning (and especially, mask pellicle technology).

Still, there continues to be significant progress, and apparently, sufficient funding sources to continue advanced development, in contrast to say, the 450mm initiative.

-chipguy

Thanks for that link, but notice they did not show any wafer imaging results for all their optimism.
 
ASML reported their throughput at 20 mJ/cm2, but in 2012 Intel reported they need to be operating at least three times that number.

Complementary

For 22 nm contacts (or cuts) it would need to be 80 mJ/cm2, four times the currently referenced dose! For 32 nm contacts or cuts, it's 40 mJ/cm2, still 2x the referenced dose. These are all bigger than 7nm node dimensions already!

View attachment 17182

(see slide 17 at the link for more detail)
 
Last edited:
There is another relevant reference from the 31st European Mask and Lithography Conference in 2015, which provides more detailed data on N7 EUV performance.

Patterning options for N7 logic - Prospects and challenges for EUV (ASML and IMEC)

T2T gaps are a particular problem for EUV at this node due to the dipole-like illumination necessary for 32 nm pitch.
 
Last edited:
Back
Top