Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/will-samsung-or-intel-catch-up-to-tsm-in-ai-ml-chips.18170/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Will Samsung or Intel catch up to TSM in AI/ML chips?

For Samsung, I think it totally depends on Nvidia, and if Nvidia willingly go back and put orders in. But they definitely won't for their next gen after H100.
For Intel, it's going to be depended on Falcon Shores and what foundry it's going to use for various tiles. And if Nvidia will put next-next generation to be manufactured at Intel.

So, we won't know for sure if Samsung or Intel can catch up to TSM in AI/ML until 2025. That's my take.
 
For Samsung, I think it totally depends on Nvidia, and if Nvidia willingly go back and put orders in. But they definitely won't for their next gen after H100.
For Intel, it's going to be depended on Falcon Shores and what foundry it's going to use for various tiles. And if Nvidia will put next-next generation to be manufactured at Intel.

So, we won't know for sure if Samsung or Intel can catch up to TSM in AI/ML until 2025. That's my take.

TSMC won the 3nm node with the N3 family in a very big way. Intel 3 and Samsung 3nm are nowhere to be seen amongst the top foundry customers. Everyone is using N3. The question is who will win the next generation of process nodes: Intel 18A, TSMC N2, Samsung 2nm?

Based on what I have heard inside the ecosystem, TSMC N2 is the current leader. It may not be as overwhelming as N3 but TSMC will still have majority market share.

The question I have is who will be #2? Since Samsung includes their internal VLSI group as a foundry customer Intel could do the same and be #2 instantly. If you take away internal usage Intel has a good shot at the #2 slot by 2030 when the 18A family hits full stride, absolutely.
 
Based on what I have heard inside the ecosystem, TSMC N2 is the current leader. It may not be as overwhelming as N3 but TSMC will still have majority market share.

when you say N2 being the current leader, do you mean it in technological advancement or ecosystem supports or customer adoption? If it's the first one, it's quite interesting to see because 18A comes with both RibbonFET and PowerVia. TSMC's GAAFET must be really good.
 
when you say N2 being the current leader, do you mean it in technological advancement or ecosystem supports or customer adoption? If it's the first one, it's quite interesting to see because 18A comes with both RibbonFET and PowerVia. TSMC's GAAFET must be really good.

Sorry, I'm speaking of design activity: IP porting, test chips, talk inside the ecosystem, etc... It is still early but I feel TSMC N2 will have the majority of the design starts. I'm sure Intel 18A will have customers but I am not seeing any Samsung 3nm activity yet. I will be at the Samsung Foundry day next week so I should hear more but as it is today TSMC has the ecosystem momentum and Intel has the shiny new process (18A). Samsung is really going to have to up their foundry and packaging game, absolutely.
 
when you say N2 being the current leader, do you mean it in technological advancement or ecosystem supports or customer adoption? If it's the first one, it's quite interesting to see because 18A comes with both RibbonFET and PowerVia. TSMC's GAAFET must be really good.

Scott did a nice job on the Intel PowerVia. Great discussion in the comments section:

 
Back
Top