Live Webinar: Engineering best practices for Python-based testbenches with cocotb (US)

Online

Philipp Wagner, Co-maintainer of cocotb and Hardware/Software Engineer at lowRISC Abstract: Writing code is easy. Reading code is hard. Maintaining code is hard. Writing "good" code is hard. So what's "good code"? Don't despair: the software engineering community has come up with tons of practical solutions! Now it's time to apply them to your next …

DVClub Europe

Online

DVClub Europe: Make Verification Fun Again with Python and cocotb Make Verification Fun Again with Python and cocotb cocotb is an open source coroutine-based cosimulation testbench environment for verifying VHDL and SystemVerilog RTL using Python. cocotb connects a testbench written in Python with almost all industry-standard simulators. Additionally, cocotb provides a small but powerful framework …

Webinar: Ways to run cocotb: makefiles, cocotb-test, or your custom setup (US)

Online

LIVE WEBINAR: Ways to run cocotb: makefiles, cocotb-test, or your custom setup (US) Philipp Wagner, cocotb and Hardware/Software Engineer at lowRISC Thursday, November 9, 2023 11:00 AM - 12:00 PM (PST) Abstract: cocotb enables Python-based hardware verification, and it integrates into your simulator of choice, such as Aldec's Riviera-PRO and executes Python testbenches in that context. …

Webinar: Latest Innovations and Updates in ASICs with Efabless!

Online

Description In this webinar Jeff DiCorpo & Matt Venn will delve into the latest ASIC developments, including the game-changing OpenFrame – a new Caravel version expanding your design possibilities by 50%. Topics Include: - OpenFrame - a new version of Caravel that gives 50% more area - GPIO configuration questions - The new cocotb testing …