Synopsys & AMD Webinar – Final Frontier: The Next Generation of 3DIC Interposer/InFO Design

Online

In recent years, the semiconductor industry has experienced a breakthrough in the onset of 2.5D and 3D chiplet-based products. These products promise to extend the limits of Moore’s Law while demolishing limitations on speed and capacity for our highest tiers of compute. But for all the adulation we heap upon the 3DIC paradigm, we seemingly …

Webinar: Multiphysics Simulation of Challenges in 3D IC and Chiplet Designs

Online

Multiple Sessions - All English Language: 14:00 JST (APAC) 10:00 EDT, 16:00 CET (AMER and EUR) Stacking multiple dies with 3D ICs offer enhanced functionality, reduced form factor, and improved interconnect density. However, these advancements come with several challenges, including thermal management issues. Multiphysics suites from Altair assist designers in optimizing thermal management strategies and …