Thermal and Stress Analysis of 3D-ICs with Celsius Thermal Solver

Overview Join us to fully understand the thermal and stress challenges introduced by 3D-ICs, which are cross-fabric problems.  Learn how the Cadence Celsius™ Thermal Solver helps you solve this problem by producing thermal gradient for the whole system, enabling analysis from early design to signoff​. Takeaways: Seamless integration with Cadence’s OrbitIO™ Interconnect Designer and Voltus™ …