Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
  • Home
  • Wiki
  • Forum
  • EDA
    • AMIQ EDA
    • Aniah
    • Breker Verification Systems
    • Bronco AI
    • Cadence
    • ClockEdge
    • ChipAgents AI
    • Defacto Technologies
    • Easy-Logic
    • Empyrean
    • Keysight EDA
    • LUBIS EDA
    • Moores Lab (AI)
    • PDF Solutions
    • Perforce
    • Mach42
    • Rise Design Automation
    • Siemens EDA
    • Silvaco
    • Synopsys
    • S2C EDA
    • Undo
    • yieldHUB
  • IP
    • Agile Analog
    • Akeana
    • Alphacore
    • Analog Bits
    • Andes Technology
    • Arteris
    • CAST
    • Certus Semiconductor
    • Ceva
    • Keysom
    • Mixel
    • Quadric
    • SiFive
    • Semidynamics
    • Silicon Creations
    • sureCore
    • Synopsys
    • Weebit Nano
  • Services
    • Accellera
    • Aion Silicon
    • Alchip
    • Axiomise
    • IPnest
    • NetApp
    • Samtec
    • Semiconductor Advisors
    • Semiconductor Intelligence
    • Silicon Catalyst
    • TechInsights
    • Tuple Technologies
  • Foundries
    • Intel Foundry
    • Soitec
    • TSMC
  • Analytics
    • PDF Solutions
    • proteanTecs
    • yieldHUB
  • Security
    • Caspia Technologies
    • Cycuity
    • PQShield
    • Secure-IC
  • Books
  • Job Board
  • Podcast
  • Videos
  • LATEST NEWS:
  • CEO Interviews
  • EDA
  • IP
  • Chiplet
  • TSMC
  • Intel Foundry
  • RISC-V
  • Arm
  • AI
  • Analytics
  • Automotive
  • 3D IC
  • Security
  • Events
Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
Guests have limited access.
Join our community today!
You are currently viewing SemiWiki as a guest which gives you limited access to the site. To view blog comments and experience other SemiWiki features you must be a registered member. Registration is fast, simple, and absolutely free so please, join our community today!
64 events found.

Events Search and Views Navigation

Event Views Navigation

  • List
  • Month
  • Day
Today
  • September 2025

  • Sat 27
    caspa2025 18
    September 27 @ 9:00 AM - 9:00 PM

    CASPA 2025 Annual Conference and Dinner Banquet

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    CASPA ACDB 2025 — A premier global summit uniting industry leaders, entrepreneurs, researchers, and investors to explore the future of Artificial Intelligence and Semiconductors Theme: 🧠 “AI Ecosystem Revolution: Advancing Tomorrow’s Intelligence Together” 📌 A full-day experience featuring: ✨ Keynote Presentations 💡 Visionary Panel Discussions 🍽️ Formal Dinner Banquet 🤝 Exclusive Networking Opportunities 🎤 Keynote Speakers: 🔹 David Wang, Senior Vice …

    Continue reading "CASPA 2025 Annual Conference and Dinner Banquet"

  • Sun 28
    1958106749094748577 0 400
    September 28 - October 2

    ECOC 2025

    Bella Center Bella Center, Center Boulevard 5, Copenhagen, 2300, Denmark

    The 51st European Conference on Optical Communication Welcome to ECOC 2025 in Copenhagen ECOC, the European Conference on Optical Communication, stands as Europe’s premier gathering for optical communications, holding a prominent position as one of the globe’s most significant events in this field. This conference unites pioneers and professionals from academia, research, and industry, fostering the …

    Continue reading "ECOC 2025"

  • Mon 29
    Screenshot 2025 06 24 085557
    September 29 - October 2

    Semitracks Course: Failure and Yield Analysis

    Phoenix, AZ Phoenix, AZ, United States

    Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

    Continue reading "Semitracks Course: Failure and Yield Analysis"

  • Mon 29
    Screenshot 2025 08 27 211345
    September 29 - October 2

    IMAPS Symposium 2025

    Town & Country Resort, San Diego 500 Hotel Cir N, San Deigo, CA, United States

    September 29 - October 2, 2025 Co-located with Semiconductor thermal management Town & Country resort San Diego, California The 58th International Symposium on Microelectronics is organized by the International Microelectronics Assembly and Packaging Society and held in San Diego, California. IMAPS Symposium offers one of the most robust programs for microelectronics and advanced packaging technical …

    Continue reading "IMAPS Symposium 2025"

  • Tue 30
    Screenshot 2025 09 04 013215
    September 30 @ 9:00 AM - 10:00 AM

    Webinar: Hardware design of custom AI accelerators using High-Level Synthesis

    Online

    As the demand for Machine Learning increases, the need for custom hardware acceleration explodes. Hardware optimized for Performance, Power, and Area are incredibly important to stay competitive. This webinar will cover High-Level Synthesis and its benefits in quickly and accurately producing hardware accelerators. We will cover the step-by-step design and verification of the Wake Word …

    Continue reading "Webinar: Hardware design of custom AI accelerators using High-Level Synthesis"

  • Tue 30
    Screenshot 2025 08 21 015027
    September 30 @ 11:00 AM - 12:00 PM

    CadenceTECHTALK: Shift-Left Thermal Analysis with AI-Enabled Celsius Studio Platform

    Overview Join us for a series of expert-led webinars showcasing cutting-edge simulation and analysis tools—from RF/mmWave modeling to advanced thermal and electromagnetic solutions. While designed for a broad range of high-performance electronics applications, each session also highlights how these technologies can be adapted to meet the rigorous demands of aerospace and defense (A&D) systems. Topics …

    Continue reading "CadenceTECHTALK: Shift-Left Thermal Analysis with AI-Enabled Celsius Studio Platform"

  • Tue 30
    410206c2 ba3a 45c8 8fce 14af39eb8a34
    September 30 @ 6:00 PM - 9:00 PM

    Silicon Photonics Workshop

    1 Hotel Copenhagen 1 Hotel Copenhagen, Krystalgade 22 1172, Copenhagen, Denmark

    Lighting the Future: Advancing Silicon Photonics for the AI Connectivity Era Soitec is pleased to host a private workshop event in parallel of ECOC 2025, offering a forward-looking perspective on the expanding role of photonics in enabling next-generation AI architectures. The workshop will bring together leading voices from across the value chain such as : …

    Continue reading "Silicon Photonics Workshop"

  • October 2025

  • Wed 1
    Screenshot 2025 07 18 103927
    October 1 @ 8:00 AM - 5:00 PM

    2025 WLI WISH Conference

    San Jose McEnery Convention Center San José McEnery Convention Center, 150 W San Carlos St, San Jose, CA, United States

    Join us at WISH, GSA WLI’s premier technical conference that promises to be an inspiring celebration of innovation and empowerment. With around 1,000 attendees, including industry luminaries, visionary entrepreneurs, and brilliant university students in STEM, this event will showcase the evolving landscape of technology. Attendees can look forward to inspiring keynotes from trailblazers who are …

    Continue reading "2025 WLI WISH Conference"

  • Thu 2
    Synopsys pcie 5 webinar 400x400
    October 2 @ 9:00 AM - 10:00 AM

    Webinar: Why Choose PCIe 5.0 for Power, Performance, and Bandwidth at the Edge?

    Online

    Featured Speakers: Gustavo Pimentel, Principal Product Marketing Manager, Synopsys As edge, mobile and automotive applications demand faster data processing, lower latency, and reduced power consumption, PCI Express® 5.0 has emerged as the optimal interconnect standard. Doubling the data rate of PCIe 4.0 while enabling lane reduction, PCIe 5.0 helps SoC designers achieve significant savings in …

    Continue reading "Webinar: Why Choose PCIe 5.0 for Power, Performance, and Bandwidth at the Edge?"

  • Thu 2
    Screenshot 2025 09 22 163523
    October 2 @ 12:00 PM - 1:00 PM

    Webinar: Enabling Tomorrow’s Workloads with 1.6Tbps Ethernet

    Online

    Ethernet speeds are accelerating fast and AI, Cloud, and HPC workloads are driving demand that doubles every year. With 800Gbps ports in production and 1.6Tbps Ethernet around the corner, the need for robust pre-silicon verification has never been greater. Join this webinar to see how the Veloce™ hardware-assisted verification platform extends support for 1.6Tbps Ethernet …

    Continue reading "Webinar: Enabling Tomorrow’s Workloads with 1.6Tbps Ethernet"

  • Tue 7
    Screenshot 2025 01 27 152857
    October 7 - October 9

    it-sa Expo & Congress

    Nuremberg, Germany Nuremburg, Germany

    it-sa Expo&Congress in Nuremberg is Europe's largest trade fair for IT security... ...and one of the most important dialogue platforms for IT security solutions. The trade fair covers the entire range of products and services in the field of cybersecurity: hardware, software, training and consulting services as well as Security as a Service. Important topics …

    Continue reading "it-sa Expo & Congress"

  • Tue 7
    Screenshot 2025 06 10 164040
    October 7 - October 9

    SEMICON West 2025

    Phoenix Convention Center 100 N 3rd St, Phoenix, AZ, United States

    SEMICON West 2025 makes its debut in Phoenix, October 7–9—positioning the city as a key hub for innovation and industry growth. This milestone event gathers global leaders across the microelectronics supply chain to explore transformative technologies, develop the future workforce, and drive strategic collaboration. Now more than ever, we are STRONGER TOGETHER. Programs + Events -CEO …

    Continue reading "SEMICON West 2025"

  • Tue 7
    images
    October 7 - October 9

    AutoSens Europe 2025

    Palau de Congressos Palau de Congressos, Av. de la Reina Maria Cristina, s/n, Sants-Montjuïc, Barcelona, Spain

    We're back in the vibrant city of Barcelona, bringing together experts from across the industry From 7th-9th October 2025, we’re reuniting the AutoSens community at the Palau de Congressos in Barcelona to shape the future of ADAS and AV. With over 60 expert speakers, engaging panels, technical case studies, and exploration of 12 key themes, …

    Continue reading "AutoSens Europe 2025"

  • Tue 7
    Screenshot 2025 08 21 023714
    October 7 @ 8:30 AM - 6:30 PM

    CadenceCONNECT: CFD Innovations for the Marine Industry

    Cadence Design Systems Belgium Cadence Design Systems Belgium, Chau. de la Hulpe 189, Bruxelles, Belgium

    What does the future hold for marine CFD simulation? Join us at the CadenceCONNECT: CFD Innovations for Marine Applications seminar to find out! Historic software uses methods for solving that simply do not have the scalability to meet the needs of marine evolution. Cadence CFD analysis gives you an automatic and efficient computation setup. Additionally, …

    Continue reading "CadenceCONNECT: CFD Innovations for the Marine Industry"

  • Thu 9
    October 9 @ 9:00 AM - 5:30 PM

    Connected Equipment Summit

    Hilton Phoenix Chandler Hilton Phoenix Chandler, 2929 W Frye Road, Chandler, AZ, United States

    Join us for our inaugural Connected Equipment Summit! Register here: Connected Equipment Summit October 9, 2025 | 9AM PT This summit will take place at the Hilton Phoenix Chandler and will bring together industry leaders from across the semiconductor ecosystem—including fabs, equipment OEMs, and fabless companies. This premier event will showcase PDF Solutions’ latest innovations in …

    Continue reading "Connected Equipment Summit"

  • Mon 13
    Screenshot 2025 07 18 104306
    October 13 - October 16

    2025 OCP Global Summit

    San Jose Convention Center 150 W San Carlos St, San Jose, CA, United States

    The OCP Summit is the premier event uniting the most forward-thinking minds in open IT Ecosystem development. The Summit presents a unique platform for our Community from around the globe to share their insights, foster partnerships and showcase cutting-edge advancements in open hardware and software. *Join us in San Jose October 13-16 for the 2025 OCP …

    Continue reading "2025 OCP Global Summit"

  • Tue 14
    Screenshot 2025 06 10 164716
    October 14 - October 16

    IEEE International Conference on PHYSICAL ASSURANCE and INSPECTION of ELECTRONICS (PAINE)

    Denver, CO Denver, CO, United States

    ABOUT PAINE Physical inspection of electronics has grown significantly over the past decade and is becoming a major focus for the chip designers, original equipment manufacturers, and system developers. The complex long life of the electronic devices coupled with their diverse applications is making them increasingly vulnerable to various forms of threats and inspection. Large …

    Continue reading "IEEE International Conference on PHYSICAL ASSURANCE and INSPECTION of ELECTRONICS (PAINE)"

  • Tue 14
    慕尼黑 3
    October 14 @ 1:00 PM - 5:00 PM

    Andes RISC-V CON Munich

    Smartvillage Bogenhausen Smartvillage Bogenhausen, Rosenkavalierpl. 13, Munich, Germany

    Andes RISC-V CON Munich Smartvillage Bogenhausen REGISTER HERE

  • Wed 15
    Screenshot 2025 09 29 233835
    October 15 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the AI Market in 2026

    Online

    October 15, 2025 - 11:00 AM EST   October 16, 2025 – 10:00 AM JST/KST Discover the 5 Critical AI Market Trends Reshaping Semiconductors in 2026 From datacenter accelerators to 2nm process technology, learn what’s next for AI and the semiconductor industry. The acceleration of artificial intelligence (AI) adoption is fueling one of the most transformative …

    Continue reading "Webinar: 5 Expectations for the AI Market in 2026"

  • Wed 15
    Synopsys tcad webinar 1200x1200
    October 15 @ 5:00 PM - 6:00 PM

    Webinar: ML-Enhanced TCAD Calibration With 10x Reduction in Time to Results

    Online

    Date: Oct 15, 2025 | 5:00 PM PST Featured Speakers: Saurabh Suryavanshi, Product Manager, Synopsys Youngkwon Cho, Senior Staff Engineer, Synopsys Dipanjan Basu, Principal Engineer, Synopsys Calibration is an essential part of enabling TCAD products usages inside Semiconductor fab. Synopsys has been leading the development of ML-enhanced calibration that reduce the time to results by 10x while improve the …

    Continue reading "Webinar: ML-Enhanced TCAD Calibration With 10x Reduction in Time to Results"

  • Thu 16
    Screenshot 2025 09 04 024733
    October 16 - October 17

    2025 Embedded World China

    Shanghai World Expo Exhibition and Convention Center China 1099 Guozhan Rd, Shanghai, Pudong

    Conference Profile The embedded world China Conference will take place on October 16 and 17, 2025 at the Shanghai World Expo Exhibition and Convention Centre. The main topics of this year's conference are: · Embedded Technology & Applications · Vehicle Electronic / Electrical Architecture & Technologies · Embedded Vision Technologies Innovation and Development Conference using Edge AI Check …

    Continue reading "2025 Embedded World China"

  • Sat 18
    Screenshot 2025 06 10 165011
    October 18 - October 22

    MICRO 2025 – 58th IEEE/ACM International Symposium on Microarchitecture

    Seoul, Korea Seoul, Korea, Republic of

    The IEEE/ACM International Symposium on Microarchitecture® is the premier forum for presenting, discussing, and debating innovative microarchitecture ideas and techniques for advanced computing and communication systems. This symposium brings together researchers in fields related to microarchitecture, compilers, chips, and systems for technical exchange on traditional microarchitecture topics and emerging research areas. The MICRO community has enjoyed a …

    Continue reading "MICRO 2025 – 58th IEEE/ACM International Symposium on Microarchitecture"

  • Mon 20
    Screenshot 2025 08 27 212135
    October 20 - October 23

    SPIE Optifab 2025

    Joseph A. Floreano Rochester Riverside Convention Center Joseph A. Floreano Rochester Riverside Convention Center, 123 East Main Street, Rochester, NY, United States

    Join colleagues at the largest optical manufacturing conference and exhibition in North America SPIE Optifab is the premier event to meet with top companies and learn about the latest optical fabrication technologies. Organized jointly by SPIE and APOMA, Optifab is the largest optical manufacturing conference and exhibition held in North America. In 2025 the meeting …

    Continue reading "SPIE Optifab 2025"

  • Tue 21
    Semiconductor Traceability
    October 21 @ 8:30 AM - 5:00 PM

    Semiconductor Traceability and Provenance Workshop

    NIST Gaithersburg NIST Gaithersburg, 100 Bureau Drive, Gaithersburg, MD, United States

    The National Institute of Standards and Technology (NIST) will host the Semiconductor Traceability and Provenance Workshop on Tuesday, October 21, 2025, at its campus in Gaithersburg, Maryland. This in-person, one-day event builds on the momentum from the April 2025 workshop on Trust and Provenance in the Semiconductor Supply Chain, which identified traceability as the top priority …

    Continue reading "Semiconductor Traceability and Provenance Workshop"

  • Wed 22
    Screenshot 2025 07 18 104627
    October 22 - October 23

    RISC-V Summit North America 2025

    Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

    RISC-V is defining the future of open computing by providing unprecedented freedom to innovate. More than 13 billion RISC-V cores have shipped, powering new innovations in AI/ML, wireless, automotive, data center, space, IoT, embedded and more. Each day, thousands of engineers around the world collaborate and contribute to advance RISC-V. The RISC-V community shares the …

    Continue reading "RISC-V Summit North America 2025"

  • Wed 22
    Screenshot 2025 08 18 160645
    October 22 - October 23

    CadenceCONNECT: Photonics and Quantum Technologies

    San Jose, CA

    Event Summary The year 2025 is both a turning point for integrated photonics as a key enabler for AI, physical and infrastructure interconnect, and the International Year of Quantum (IYQ). In our event, we are going to explore the enabling technologies shared by these two exciting fields. While these systems need to exist in a …

    Continue reading "CadenceCONNECT: Photonics and Quantum Technologies"

  • Wed 22
    Screenshot 2025 08 27 201435
    October 22 @ 9:00 AM - 10:00 AM

    Webinar: Pushing more power with CoolGaN™: design, layout and thermal management

    Online

    Webinar date: 22.10.2025 | 9 AM CEST | 5 PM CEST Join our webinar and learn about the fundamentals of Gallium Nitride (GaN) technology and its applications in power systems. You will gain a deep understanding of gate drive circuit design, layout steps, and thermal management guidelines for GaN transistors and applications in high-switching frequency …

    Continue reading "Webinar: Pushing more power with CoolGaN™: design, layout and thermal management"

  • Wed 22
    Screenshot 2025 06 12 133648
    October 22 @ 10:00 AM - 3:00 PM

    Achieving Timing Closure in FPGA Designs Workshop

    Online

    Achieving Timing Closure in FPGA Designs Workshop Do you find it challenging to close timing in your FPGA design? This workshop will guide you through leveraging AMD Vivado’s tools, optimizing your design, and applying best practices for static timing analysis to achieve reliable timing closure. Gain hands-on experience with timing closure techniques and learn strategies to improve …

    Continue reading "Achieving Timing Closure in FPGA Designs Workshop"

  • Wed 22
    Screenshot 2025 09 29 234047
    October 22 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Power Market in 2026

    Online

    October 22, 2025 - 11:00 AM EST    October 23, 2025 – 10:00 AM JST/KST Discover the 5 Critical Power Market Trends Reshaping Semiconductors in 2026 Wide-bandgap disruption, PMIC innovation, and datacenter power demand, what’s next for power semiconductors. The rise of AI datacenters is driving unprecedented demand for power, creating both massive opportunities and major …

    Continue reading "Webinar: 5 Expectations for the Power Market in 2026"

  • Thu 23
    updated chips act web banner text 3000 x 1000 px 2048x683
    October 23

    EU Chips Act 2.0 Webinar

    Online

    Europe's Role & Chips Act 2.0 Priorities The European Union is currently developing a new version of the Chips Act program. The European Chips Act 2.0 represents a strategic initiative to strengthen the European semiconductor ecosystem. Building on the original Chips Act adopted in 2023, this updated framework aims to address emerging technologies such as …

    Continue reading "EU Chips Act 2.0 Webinar"

  • Thu 23
    Synopsys IP Designs Edge AI 400x400
    October 23 @ 10:00 AM - 11:00 AM

    Webinar: IP Design Considerations for Real-Time Edge AI Systems

    Online

    *Work Email Required* Edge AI systems increasingly require on-chip integration of large-capacity memory, compute engines, and inference-optimized accelerators—all within strict power, latency, and footprint constraints. This webinar provides a an overview of IP architecture and integration methodologies that support real-time AI workloads at the edge. We’ll cover: • Memory and compute efficiency: Techniques for optimizing …

    Continue reading "Webinar: IP Design Considerations for Real-Time Edge AI Systems"

  • Fri 24
    Screenshot 2025 08 20 145418
    October 24

    2025 TSMC Open Innovation Platform Ecosystem Forum – Tokyo

    Grand Hyatt Tokyo 6 Chome-10-3 Roppongi, Minato City, Tokyo, Japan

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn't just an event; it's a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Tokyo"

  • Sun 26
    Screenshot 2025 07 14 032955
    October 26 - October 30

    ICCAD 2025

    Munich, Germany Munich, Germany

    The 2025 International Conference on Computer-Aided Design Call For Papers Jointly sponsored by IEEE and ACM, IEEE ICCAD is the premier forum to explore new challenges, present leading-edge innovative solutions, and identify emerging technologies in the electronic design automation research areas. IEEE ICCAD covers the full range of CAD topics – from device and circuit …

    Continue reading "ICCAD 2025"

  • Tue 28
    Screenshot 2025 09 04 013215
    October 28 @ 9:00 AM - 10:00 AM

    Webinar: Rapid Design Space Exploration of AI functions on Lattice FPGAs using Catapult High-Level Synthesis

    Online

    The increasing demand for accelerated computing solutions calls for an agile hardware design methodology to be able to keep up with fast evolving landscape of algorithms. Traditional hardware design methodology has long development cycles involving defining architecture, doing microarchitecture development using RTL, and performing verification. An agile workflow requires being able to iterate through the …

    Continue reading "Webinar: Rapid Design Space Exploration of AI functions on Lattice FPGAs using Catapult High-Level Synthesis"

  • Wed 29
    Screenshot 2025 07 18 104937
    October 29 - October 30

    CadenceCONNECT: Jasper User Group 2025

    San Jose Convention Center 150 W San Carlos St, San Jose, CA, United States

    CadenceCONNECT: Jasper User Group 2025 is October 29 and 30 in San Jose, CA. This interactive, in-depth technical conference connects designers, verification engineers, and engineering managers from around the world to share the latest design and verification practices based on Cadence’s Jasper formal verification technologies and methodologies. The Jasper User Group Conference is the premier …

    Continue reading "CadenceCONNECT: Jasper User Group 2025"

  • Wed 29
    Screenshot 2025 09 23 153345
    October 29 @ 9:00 AM - 10:00 AM

    Webinar: Accelerating RTL-to-GDS digital implementation with generative and agentic AI: powered by Aprisa AI & the Siemens EDA AI System

    Online

    As digital chip design complexity grows, engineering teams face increasing pressure to meet aggressive PPA targets on tight schedules. To overcome this challenge, the EDA industry requires a revolutionary shift towards AI. Siemens EDA is leading this transformation by implementing a comprehensive strategy that combines machine learning, reinforcement learning, generative, and agentic AI across the …

    Continue reading "Webinar: Accelerating RTL-to-GDS digital implementation with generative and agentic AI: powered by Aprisa AI & the Siemens EDA AI System"

  • Wed 29
    6aa32750 74d5 44ba aae6 84fdf47dd3ba
    October 29 @ 11:00 AM - 12:00 PM

    Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration

    Online

    Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Unlock the potential of the AMD Kria SOM and discover Time-Sensitive Networking (TSN) benefits for your applications. In this session, you’ll explore the TSN-ROS application and its role in enhancing communications within a TSN framework. Join our hands-on demonstration to …

    Continue reading "Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration"

  • Wed 29
    Screenshot 2025 09 29 234253
    October 29 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Memory Market in 2026

    Online

    October 29, 2025 - 11:00 AM EST    October 30, 2025 – 10:00 AM JST/KST Discover the 5 Critical Memory Market Trends Reshaping Semiconductors in 2026 AI workloads, HBM4 adoption, and 3D NAND scaling—what’s next for the memory industry in 2026. The memory semiconductor industry is entering a critical inflection point. Explosive AI workloads are pushing …

    Continue reading "Webinar: 5 Expectations for the Memory Market in 2026"

  • November 2025

  • Mon 3
    Screenshot 2025 06 24 085557
    November 3 - November 6

    Semitracks Course: Wafer Fab Processing

    Phoenix, AZ Phoenix, AZ, United States

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

    Continue reading "Semitracks Course: Wafer Fab Processing"

  • Mon 3
    Virtual Training AdvancedPackaging (Asia) September 2025 800x800 Shopify Semiconductor Ecosystem Overview July v1.jpg
    November 3 @ 8:30 AM - 5:00 PM

    Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training

    Online

    Strengthen your knowledge and skills by learning about new packaging technologies in Fan-in, Fan-out WLP, Embedded packaging technology, System on Chip (SOC), System in Package (SiP), 3D IC, WLP, TSV, etc. Packaging knowledge is a must for professionals in the semiconductor industry. The first part of this course dives deep into advanced packaging. Part 2 …

    Continue reading "Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training"

  • Tue 4
    Screenshot 2025 10 22 032256
    November 4 - November 5

    PIC Summit Europe 2025

    Evoluon, Eindhoven Evoluon, Noord Brabantlaan 1A, Eindhoven, LA, Netherlands

    Scaling Together in a Dynamic World The photonic chip industry is reaching new heights - but scaling production, applications, and investments requires a united effort. As demand surges for high-speed, energy-efficient solutions, the question isn’t if photonic chips will revolutionise industries, but how fast we can make it happen. At PIC Summit Europe 2025, we …

    Continue reading "PIC Summit Europe 2025"

  • Tue 4
    logoFull
    November 4 - November 6

    Embedded World North America 2025

    Anaheim, CA Anaheim, CA, United States

    Connect to the embedded community With its 20 years of history and experience in Europe, embedded world is the most professional and largest exhibition in its field, and has accumulated substantial industry and customer data and media resources. It gathers the leading enterprises of the global embedded industry, with its application range covering automotive electronics, …

    Continue reading "Embedded World North America 2025"

  • Tue 4
    1759132416 2c664ecb7afb35b8
    November 4 @ 10:00 AM - 11:00 AM

    Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools

    Online

    November 4, 2025 | 10:00 AM PST This webinar will present advanced simulation tools and techniques for the design of GaN power amplifiers with increased assurance of stable operation that goes beyond simple k-factor analysis. The methods will be demonstrated using Qorvo GaN technology and related non-linear models that have been modified to facilitate advanced …

    Continue reading "Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools"

  • Wed 5
    images
    November 5 - November 7

    Brooklyn 6G Summit 2025

    New York University Tandon School of Engineering New York University Tandon School of Engineering, 6 MetroTech Center, Brooklyn, NY, United States

    What is the Brooklyn 6G Summit The Brooklyn 6G Summit (B6GS) is a premier event for the global communications industry, bringing together leading voices from technology, business, academia and regulation to shape the future of wireless. Jointly organized by Nokia and the NYU WIRELESS research center at the NYU Tandon School of Engineering, the Summit …

    Continue reading "Brooklyn 6G Summit 2025"

  • Wed 5
    Screenshot 2025 10 17 021248
    November 5 @ 8:00 AM - 8:30 AM

    Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus

    Online

    Details Imaging radar has rapidly evolved into a critical technology for autonomous systems, with patent activity accelerating significantly over the past decade. From 2015 to 2024, global imaging radar patent publications increased more than tenfold, fueled by the rise of autonomous driving, 4D radar integration, AI-based perception, and sensor fusion. This surge has created an …

    Continue reading "Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus"

  • Wed 5
    Screenshot 2025 10 29 071423
    November 5 @ 8:00 AM - 9:00 AM

    Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs

    Online

    High-Level Synthesis (HLS) is design flow in which design intent is described at a higher level of abstraction such as SystemC/C++/Matlab/etc. HLS tools are expected to synthesize this code to RTL which can be input to the traditional RTL downstream flow (RTL/GDS). Formal check tools are difficult to be analyzed on generated RTL (as the …

    Continue reading "Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs"

  • Wed 5
    November 5 @ 9:00 AM - 2:00 PM

    Open Lab Day: Power Without Compromise

    Keysight Technologies 5301 Stevens Creek Blvd., Santa Clara, CA, United States

    Power testing is more complex than ever. From subtle low-power signals to multi-kilowatt loads, bulky setups and limited software often slow you down and take up valuable lab space. At Open Lab Day: Power Without Compromise, you’ll experience what’s next in power testing: faster validation, greater accuracy, and more capability in less space. Keysight’s newest power …

    Continue reading "Open Lab Day: Power Without Compromise"

  • Wed 5
    1759427613 7a1e7d60a0e237c6
    November 5 @ 10:00 AM - 11:00 AM

    Webinar: Insights on Spectrum for 6G

    Online

    About this event Join Roger Nichols, 6G Program Manager, for an insightful discussion on the 6G spectrum. He will cover the current status of 6G technologies, standards, and policies for the next generation of wireless, including developments from 2024 after the World Radio Conference. Who should attend this event? This webinar is for 6G researchers …

    Continue reading "Webinar: Insights on Spectrum for 6G"

  • Wed 5
    Screenshot 2025 10 22 035247
    November 5 @ 10:00 AM - 12:00 PM

    Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class

    Online

    Join us for this engaging Master Class with Benyamin Davaji, PhD, Assistant Professor of Electrical and Computer Engineering at Northeastern University and Peter Doerschuk, Professor of Electrical and Computer Engineering and Biomedical Engineering at Cornell University, as they explore the role of digital twin models in advancing semiconductor manufacturing. The masterclass will highlight how data-guided …

    Continue reading "Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class"

  • Wed 5
    Screenshot 2025 09 29 234430
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Sensor Market in 2026

    Online

    November 5, 2025 - 11:00 AM EST    November 6, 2025 – 10:00 AM JST/KST Discover the 5 Critical Sensor Market Trends Reshaping Semiconductors in 2026 From 8K smartphones to AI at the edge—explore the next generation of image sensor innovation. The image sensor industry is shifting from traditional pixel scaling to functionality-driven differentiation, unlocking new …

    Continue reading "Webinar: 5 Expectations for the Sensor Market in 2026"

  • Wed 5
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop

    Online

    Join our webinar to learn how Ansys optiSLang and Thermal Desktop tackle thermal and fluid challenges, optimize design, and enhance product performance with a vapor chamber use case. Date & Time: November 5, 2025 11AM EDT Venue: Virtual Overview In this webinar, discover how Ansys optiSLang and Ansys Thermal Desktop empower engineers to tackle complex …

    Continue reading "Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop"

  • Wed 5
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: Accelerating the Manufacturing Process Using Simulation

    Online

    Join our webinar to explore how Ansys LS-DYNA enhances manufacturing simulations in sheet metal forming, welding, forging, and more, improving accuracy and workflow efficiency. Date/Time: November 5, 2025 11 AM IST Venue: Virtual Overview The demand for manufacturing simulations has been steadily increasing across industries, driven by the need for enhanced product quality, reliability, and cost …

    Continue reading "Webinar: Accelerating the Manufacturing Process Using Simulation"

  • Wed 5
    https cdn.evbuc.com images 1146954313 75197236605 1 original
    November 5 @ 5:00 PM - 7:30 PM

    Silicon Catalyst 2025 Semi Industry Forum

    Computer History Museum Computer History Museum, 1401 N Shoreline Blvd, Mountain View, CA, United States

    On-the-Road to a $1 Trillion Industry Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. About this event Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. Demand is accelerating, driven by AI, automotive, data centers, and IoT - …

    Continue reading "Silicon Catalyst 2025 Semi Industry Forum"

  • Thu 6
    Screenshot 2025 07 18 105742
    November 6 - November 7

    Electronic Packaging Days 2025

    Fraunhofer Institute for Reliability and Microintegration IZM Gustav-Meyer-Allee 25, Building 17/3, Berlin, Germany

    On 6 and 7 November, Fraunhofer IZM is inviting customers and partners from industry to Berlin for its “Electronic Packaging Days”. The event is designed to facilitate direct exchange between IZM researchers and companies. The aim is to present current research work and technological developments in the field of microelectronic packaging and heterogeneous system integration …

    Continue reading "Electronic Packaging Days 2025"

  • Thu 6
    image002[88]
    November 6 @ 5:30 PM - 9:30 PM

    Archetype: Conversations with Silicon Edition

    Ferry Building Ferry Building, 1 Ferry Building, San Francisco, CA, United States

    Archetype, a global tech communications consultancy, is co-hosting, with GV (fka Google Ventures) an exec, media and comms networking event in San Francisco on Thursday, November 6, from 5:30 to 9:30 p.m. at GV’s office in the Ferry Building. We’re bringing together well-respected observers and founders in the semiconductor and computing industries for an evening …

    Continue reading "Archetype: Conversations with Silicon Edition"

  • Thu 6
    Screenshot 2025 10 22 032457
    November 6 @ 6:30 PM - 9:00 PM

    Phil Kaufman Award Ceremony and Banquet

    Hayes Mansion Hayes Mansion, 200 Edenvale Ave, San Jose, CA, United States

    The Phil Kaufman Award honors individuals who have had a demonstrable impact on the field of electronic system design through technology innovations, education/mentoring, or business or industry leadership. The award was established as a tribute to Phil Kaufman, the late industry pioneer who turned innovative technologies into commercial businesses that have benefited electronic designers. REGISTER HERE

  • Fri 7
    SXVN2025 EventTile 1 3.jpg
    November 7 - November 8

    SEMIEXPO Vietnam 2025

    Hanoi, Vietnam Hanoi, Viet Nam

    As the global semiconductor landscape continues to evolve, Vietnam is positioning itself for a promising future in the semiconductor industry. SEMIEXPO Vietnam will be a pivotal event, exploring opportunities for businesses across the semiconductor value chain, from assembly and testing, to fabless/design. This premier event will highlight how local players can elevate Vietnam’s position in …

    Continue reading "SEMIEXPO Vietnam 2025"

  • Tue 11
    Screenshot 2025 06 10 150029
    November 11

    SemIsrael Expo 2025

    Avenue Convention Center Airport City, Israel

    Connecting the Dots: Empowering the Semiconductor Community SemIsrael Expo 2025 is the premier professional semiconductor event in Israel. The event brings together hundreds of Israeli semiconductor professionals from all fields and aspects of the semiconductor industry. The Expo will host some 750 semiconductor professionals from all the Israeli semiconductor community; local fabless & startups, local …

    Continue reading "SemIsrael Expo 2025"

  • Tue 11
    Screenshot 2025 10 15 180018
    November 11 @ 9:00 AM - 10:30 AM

    Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights

    Online

    Traditional RTL low power design techniques such as sequential clock gating are widely deployed across the industry. Yet, even after multiple RTL revisions, residual power inefficiencies often remain undetected until silicon, resulting in missed optimization opportunities. This seminar presents a refined approach to conventional methodologies: a reporting and opportunity identification layer that sits atop clock …

    Continue reading "Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights"

  • Wed 12
    Screenshot 2025 10 29 071729
    November 12 @ 8:00 AM - 9:00 AM

    Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim

    Online

    Join us for this essential webinar where we'll explore how  Questa One Sim empowers VHDL designers to dramatically enhance their debugging productivity. We'll move beyond basic simulation viewing and dive into advanced features designed to pinpoint issues faster, understand design behavior more intuitively, and streamline your entire VHDL RTL debug workflow. This webinar is Part 1 of …

    Continue reading "Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim"

  • Wed 12
    1746045069 103c98f2493e62fc
    November 12 @ 10:00 AM - 11:00 AM

    Webinar: AI/ML Algorithm Design and Testing Toward 6G

    Online

    AI and machine learning (AI/ML) are reshaping wireless communications, promising faster, more efficient, and more intelligent networks. But bringing these algorithms into real-world environments isn’t simple — validation and testing remain major hurdles. In this webinar, industry expert Abhinav Mahadevan shares how to bridge that gap. You’ll learn: • How AI/ML can unlock smarter signal …

    Continue reading "Webinar: AI/ML Algorithm Design and Testing Toward 6G"

  • Wed 12
    Screenshot 2025 09 29 234617
    November 12 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Compute Market in 2026

    Online

    November 12, 2025 - 11:00 AM EST    November 13, 2025 – 10:00 AM JST/KST Discover the 5 Critical Compute Market Trends Reshaping Semiconductors in 2026 Datacenter accelerators, advanced nodes, and geopolitical tensions—what’s next for compute semiconductors. The compute semiconductor market is entering a transformative period. While datacenter accelerators drive rapid growth, traditional PC and mobile …

    Continue reading "Webinar: 5 Expectations for the Compute Market in 2026"

  • Thu 13
    1759871032 edc349cc38a32997
    November 13 @ 8:30 AM - 3:30 PM

    Aerospace and Defense Symposium 2025 — El Segundo

    DoubleTree by Hilton Hotel LAX - El Segundo DoubleTree by Hilton Hotel LAX - El Segundo, 1985 E Grand Ave, El Segundo, CA, United States

    Join Chris Johnston, Keysight’s Director of Radar and EW, alongside other Keysight experts, at this year’s Aerospace and Defense Symposium in El Segundo. Gain practical insights, see live demonstrations, and take part in engaging discussions designed to help you stay ahead in our rapidly evolving industry. You’ll walk away ready to accelerate mission-critical product development across …

    Continue reading "Aerospace and Defense Symposium 2025 — El Segundo"

  • Thu 13
    November 13 @ 11:00 AM - 12:00 PM

    Webinar: Accelerating Product Development with Computational Materials Engineering

    Online

    Discover how companies are leveraging ICME to enhance outcomes in consumer goods, fluids manufacturing, optics, and polymer development. Learn how your R&D team can accelerate the process from materials design to product design and manufacturing. DATE/TIME: November 13, 2025 11 AM EST / 5 PM CET / 9:30 PM IST Venue: Virtual Overview Ansys and …

    Continue reading "Webinar: Accelerating Product Development with Computational Materials Engineering"

  • Today
  • Next Events
  • Google Calendar
  • iCalendar
  • Outlook 365
  • Outlook Live
  • Export .ics file
  • Export Outlook .ics file

Copyright © 2025 SemiWiki.com. All rights reserved.
Legal / Sponsor Disclosure - About SemiWiki