Webinar: An AI/ML Driven High-Level Synthesis Solution

Webinar: An AI/ML Driven High-Level Synthesis Solution
by Admin on 06-20-2023 at 4:16 pm

High-Level Synthesis (HLS) tools yield better PPA when the “right set” of optimization constraints and tool settings are applied. Determining the right set of constraints and settings requires design and tool experience and exploration. AI/ML technology has proven highly effective at exploring the solution… Read More


Webinar: High-Level Synthesis for Hardware/Software Architectural Exploration of an Inferencing Algorithm

Webinar: High-Level Synthesis for Hardware/Software Architectural Exploration of an Inferencing Algorithm
by Admin on 12-30-2022 at 11:37 am

Summary

Edge devices of all types are getting smarter, with the ability to listen to us, understand our gestures, and even recognize us. This intelligence comes from the inferencing capabilities of deep neural networks. Inferencing is compute intensive and can easily overwhelm embedded processors or the limited power budgets… Read More


CadenceTECHTALK: Driving Low-Power Design with High-Level Synthesis

CadenceTECHTALK: Driving Low-Power Design with High-Level Synthesis
by Admin on 09-15-2022 at 1:29 pm

Date: Wednesday, October 12, 2022

Time: 09:00 BST / 10:00 CEST / 11:00 EEST and Israel

With the growth in computing at the edge driven by the explosion in the number of battery-powered smart devices, designing for low power is mission-critical to product success. Numerous techniques, spanning all stages of design, are employed

Read More

Driving Low-Power Design with High-Level Synthesis

Driving Low-Power Design with High-Level Synthesis
by Admin on 06-22-2022 at 1:42 pm

With the growth in computing at the edge driven by the explosion of battery-powered smart devices, designing for low power is mission-critical to product success. Numerous techniques, spanning all stages of design, are employed to reduce power. Since many of the low-power design techniques come at a cost in performance, the … Read More