Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/systemc-ams-reality-check.544/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

SystemC AMS - Reality check?

simguru

Member
SystemC AMS Day 2011 - Open SystemC Initiative (OSCI)

SystemC-AMS and Design of Embedded Mixed-Signal Systems

Given the slow up-take of Verilog-AMS, I find it hard to believe that there are that many people actually using SystemC-AMS, and given the dysfunctional signal communication semantics in SystemC and shortage of AMS designers familiar with C++ I'm not convinced there's much mileage in it (I do analog and C++ and I don't like SystemC let alone SystemC-AMS).

Is anybody really using this stuff? Is it just because they can't get a Matlab license?

Would it be better to build a bridge into Octave?
 
Back
Top