Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/synopsys-acquires-magma.1152/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Synopsys Acquires Magma!?!?!?

Daniel Nenni

Admin
Staff member
In a move to keep Mentor at bay? Much needed excitement for EDA but further consolidation gives Synopsys an even bigger lead over Cadence?

What does this acquisition REALLY mean for the semiconductor design ecosystem?

<script src="http://platform.linkedin.com/in.js" type="text/javascript"></script>
<script type="IN/Share" data-counter="right"></script>

Synopsys to Acquire Magma Design Automation
Acquisition will complement Synopsys' technology, build on existing support capabilities and help accelerate advanced product delivery to customers

MOUNTAIN VIEW, Calif., Nov. 30, 2011 /PRNewswire/ -- Synopsys, Inc. (Nasdaq:SNPS), a world leader in software and IP used in the design, verification and manufacture of electronic components and systems, has signed a definitive agreement to acquire Magma® Design Automation Inc. (Nasdaq:LAVA), a provider of chip design software headquartered in San Jose, California. Bringing together complementary technology, development and support capabilities will enable the combined company to more rapidly meet customer requirements linked to chip designs at both leading-edge and mature process nodes

Under the terms of the merger agreement, Synopsys will acquire Magma for $7.35 per Magma share in cash, resulting in a transaction value of approximately $507 million net of cash and debt acquired. The boards of directors of both companies have unanimously approved the transaction.

The closing of the merger is subject to customary conditions, including approval by the stockholders of Magma as well as U.S. regulators. In the event the merger closes as expected in the second calendar quarter of 2012, Synopsys anticipates it to be modestly accretive to non-GAAP earnings per share in its fiscal 2012. Synopsys plans to fund the acquisition with a combination of cash and debt, with the specifics to be determined at the time of close.

"The dramatic rise in complexity of today's semiconductor designs for all process nodes requires an equally dramatic increase in designer productivity. Customers are either dealing with the very complex physics of 20-nanometer design or they are squeezing the last bit of performance and cost from designs at mature, high-value nodes. To achieve success, our customers are asking for more new EDA capabilities than ever before," said Aart de Geus, chairman and CEO at Synopsys. "This acquisition will enable Synopsys to accelerate the delivery of the technology our customers need to keep the overall cost of design in check."


Magma Acquisition by Synopsys to Provide Customers With State-of-the-Art Mixed-Signal, Digital and Analog Design Solutions That Enable More Profitable Silicon

SAN JOSE, Calif., Nov. 30, 2011 (GLOBE NEWSWIRE) -- Magma Design Automation Inc. (Nasdaq:LAVA), a provider of chip design software, today announced the company has entered into a definitive agreement to be acquired by Synopsys (Nasdaq:SNPS), a world leader in software and IP used in the design, verification and manufacture of electronic components and systems headquartered in Mountain View, Calif. The combination of the two companies' technologies, development capabilities, support teams and sales channels will provide chip designers with greater access to state-of-the art electronic design automation (EDA) solutions that enable more profitable silicon.

Under the terms of the merger agreement, Synopsys will acquire Magma for $7.35 per Magma share in cash, resulting in a transaction value of approximately $507 million net of cash and debt acquired. The closing of the merger is subject to customary conditions, including approval by Magma stockholders as well as U.S. regulators.
"Magma and Synopsys have always shared a common goal of enabling chip designers to improve performance, area and power while reducing turnaround time and costs on complex ICs," said Rajeev Madhavan, CEO of Magma. "By joining forces now we can ensure that chip designers have access to the advanced technology they need for silicon success at 28, 20 nanometer and below."
 
Last edited:
Acquisition issues

Interesting acquisition however I see issues with the sizable amount of product overlap:

[table]
|-
| Product
| Synopsys
| Magma
|-
| SPICE
| HSPICE
| FineSim SPICE
|-
| Fast SPICE
| CustomSim
| FineSim Pro
|-
| IC Layout
| Custom Designer LE
| Titan Mixed-Signal Design Platform
|-
| IC Schematic
| Custom Designer SE
| Titan
|-
| SDL
| Custom Designer SDL
| Titan
|-
| Static Timing
| PrimeTime
| Tekton
|-
| Logic Synthesis
| DC Ultra
| Talus RTL,
Talus Design
|-
| Physical Verification
| IC Validator,
Hercules
| Talus qDRC,
Quartz DRC,
Quartz LVS
|-
| Extraction
| StarRC
| QCP
|-
| Place and Route
| IC Compiler
| Talus Vortex
|-
| Library Characterization
| Liberty NCX
| SiliconSmart
|-
[/table]

The best EDA mergers in the past have been those with little to no product overlap. If I am a Magma or Synopsys customer then I want to know which products live, which products die, and which product lines merge into something new.

Competitors to Magma and Synopsys will have a certain period of time where FUD will help them attract nervous EDA users.
 
And that's after so many leading bloggers forecasted that MENT will buy them...
The Irony :)

I do wonder how all of this will shake out what with the big overlap in product lines
Posted by Yaron
 
So Synopsys announced today that it has signed an agreement to acquire Magma. There will be a regulatory delay etc before it finally closes.

So why did they do it? Despite Magma being thought of as a place and route company, they have two other product that are perhaps more significant for Synopsys: FineSim and Tekton.

FineSim, Magma's circuit simulator, has been eating Synopsys's lunch. According to their financial filings they have lost about $50-70M in the fast Spice market, some to Berkeley Design Automation but also a lot to FineSim. I've heard, but I've not seen any definitive data anywhere, that FineSim is actually a bigger business for Magma than place and route. It also has a lot of momentum and the market is less fragmented, especially for digital and memory circuit simulation where FineSim is strong. It is less strong in the analog markets since they don't have an environment of their own.

Tekton is Magma's static timing analyzer. Earlier this week Magma announced that 25 companies have adopted Tekton, the fastest rate of adoption for any product in Magma's history (it has been out for a about 18 months). It seems to be a real threat to PrimeTime's dominance of the signoff timing space. My guess is that the Tekton technology will be slotted under the hood of PrimeTime and it will continue to be called PrimeTime.

In place and route it is hard to know what will happen. Synopsys are supposedly internally developing a new router and Magma's place and route may fit in with that.

The other major product area is analog design and custom layout. Synopsys and Magma (along with Springsoft and others) are all competing against the Cadence Virtuoso franchise and the proprietary SKILL language that gives it a lot of lock in (especially since Virtuoso has been tweaked to not accept non-SKILL Pcells under some circumstances).

Funnily enough I was at Synopsys all morning when this was going on, at the interoperability conference. Aart appeared on video. Now we know one reason he had some other stuff on his plate today!
 
This will really blow cadence and mentor out of the water in the next few years ... how can cadence/mentor compete with these two giants ?!

time to re-think tools (or get some really cheap deals from mentor and cadence!)

it won't be long before Synopsys buys Calibre, then they will be complete !
 
Am I the only person who is concerned about the combination of consolidation into Synopsys with their business model?
(The last time we had EDA domination it led to a kind of stagnation for well over 10 years; could this time be worse?)
 
no point ... the only thing of significant value from mentor is calibre ...

even after that, they still cannot compete with the synopsys-magma giant.

time to spin out calibre ?
 
This is complete nonsense. Magma was shopped. These guys are fishing with no bait.

NEW YORK , Nov. 30, 2011 /PRNewswire/ -- Tripp Levy PLLC is investigating the Board of Directors of Magma Design Automation Inc. ("Magma" or the "Company") (NASDAQ: LAVA - News) for possible breaches of fiduciary duty and other violations of state law in connection with the sale of the Company to Synopsys, Inc. (NASDAQ: SNPS - News). Under the terms of the transaction, Magma shareholders will receive $7.35 per share of Magma stock they own. The transaction has a total approximate value of $507 million including the assumption of debt.

The investigation concerns whether the Magma Board of Directors breached their fiduciary duties to Magma stockholders by failing to adequately shop the Company before entering into this transaction and whether Synopsys, Inc. is underpaying for Magma shares, thus unlawfully harming Magma stockholders. In particular, shares of Magma traded as high as $8.45 as recently as July 7 , 2011. Furthermore, at least one analyst set a price target of $11.00 per share of Magma stock.
If you own common stock in Magma and wish to obtain additional information, please contact us at 877-772-3975.


 
Wei Shi two great timer (goldtime and tekton) have been pushed down under the water by SNPS, and then how they keep up two PnR tool, is doubtful? so eventually, what the user community ended up one timer, one pnr tool, with no options, risk mitigation left on the table. not a good sign.
 
I hope the government blocks this deal. It is very anti-competitive. There is no doubt Synopsys will eventually kill the Magma tools except for maybe their timing tools which are much faster than PT. zztopbad1
 
Given the shrinking number of fabs doing SoC I think consolidation on the tools side is inevitable. The complexity of the flows also gives the EDA companies monopoly like power over their customers - i.e once Synopsys or Cadence provides the complete front to back flow at your company, don't expect bargain pricing.

@George/Larry - I would say the last decade was pretty stagnant in terms of EDA development. The good thing about the consolidation and monopoly power situation is that it makes EDA look like a more profitable business if you are trying to build startups in EDA.

Personally, if I was a consumer of EDA tools I would be looking at what the Cloud and open-source can do for me before someone has me over a barrel.
 
With further consolidation customers will finally realize how dependent they are on the EDA tools and EDA companies can finally demand fair values for their tools and services. EDA companies, even the big and mature ones like Synopsys and Cadence, have been earning peanuts in the past few years, compared to other software companies of their size, due to their small and consolidating customer base, and haven't been able to scale with their customers either. In this case a monopoly is highly needed because the business model of EDA doesn't really accommodate competition very well. Either have a monopoly or see the industry dissolve and go back to the stage when chip companies develop their own tools.
 
Last edited:
Yes with further consolidation customers will finally realize how dependent they are on the EDA tools and EDA companies can finally demand fair values for their tools and services....

I think they get a fair price at the moment, most of the stuff doesn't work very well. With a first-spin success rate at 30% I'd say the tools and flows are pretty dysfunctional. Further to my earlier comment I think that it is likely that the fabs will take on the back-end of the design flows themselves (as an exercise in cloud computing) to avoid dependency on the EDA companies. I was expecting a fab to buy Magma while it looked weak, with a view to providing free/cheap tool support for its own Silicon - the FPGA companies supply tools cheap so they can sell more Silicon.
 
Last edited:
Back
Top